基于fpga的液晶显示接口电路设计毕业设计

基于fpga的液晶显示接口电路设计毕业设计

ID:871617

大小:3.06 MB

页数:54页

时间:2017-09-22

基于fpga的液晶显示接口电路设计毕业设计_第1页
基于fpga的液晶显示接口电路设计毕业设计_第2页
基于fpga的液晶显示接口电路设计毕业设计_第3页
基于fpga的液晶显示接口电路设计毕业设计_第4页
基于fpga的液晶显示接口电路设计毕业设计_第5页
资源描述:

《基于fpga的液晶显示接口电路设计毕业设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)任务书学生姓名专业班级指导教师工作单位设计(论文)题目:基于FPGA的液晶显示接口电路设计设计(论文)主要内容:本课题采用FPGA设计一个液晶显示模块LCM的接口控制电路,实现对LCM的有效控制,要求能显示中文和英文两种字符,下载并测试电路功能,分析芯片资源的占用情况。要求完成的主要任务及其时间安排:液晶显示模块是将液晶屏和液晶屏控制器制作在一块PCB上的显示系统,选择相应的液晶显示模块,采用FPGA设计接口控制电路,使液晶屏能显示中英文两种字符以及图形的显示。1—2周根据设计题目查找并收集相关资料3—

2、4周完成开题报告,根据任务书拟定提纲5—6周确定整体设计思路,形成设计基本结构与框架7—10周软、硬件设计实现,并调试进行功能测试11—13周组织并完善毕业设计报告书,进行答辩准备14周提交论文15周答辩必读参考资料:1.黄庭涛CPLD/FPGA的开发与应用电子工业出版社20092.王洪海电子设计自动化应用技术——FPGA应用篇高等教育出版社20093.姚远、李辰,FPGA应用开发入门与典型实例人民邮电出版社20104.张洪润、张亚凡,FPGA/CPLD应用设计200例北京航空航天大学出版社2009指导教师签名:教研室

3、主任签名:盖章毕业设计(论文)开题报告题目基于FPGA的液晶显示接口电路设计1.目的及意义(含国内外的研究现状分析):首先,21世纪,随着电子技术迅猛发展,高新技术日新月异。传统的设计方法正在逐步退出历史舞台,取而代之的是基于EDA技术的芯片设计技术,它正在成为电子系统设计的主流。大规模可编程器件现场可编程门阵列FPGA,已成为当今应用最广泛的可编程专用集成电路之一。其性能好、可靠性强、容量大、体积小、微功耗、速度快、灵活使用、设计周期短、开发成本低,静态可重复编程,动态在系统结构,硬件功能可以像软件一样通过编程来修改

4、,极大的提高了电子设计的灵活性和通用性。电子工程师和科学研究人员利用该类器件可以在办公室或试验台设计出所需要的专用集成电路,大大的较少了产品的研发周期和降低成本。近年来可编程逻辑器件的开发生产和销售规模以惊人的速度增长,而且广泛的应用于航空航天,网络通信,军用雷达,仪器仪表,工业控制,医用CT,家用电器,手机和计算机各个领域。它的广泛应用,使传统的设计方法正在进行一场巨大的变革。随着PFGA的空前发展和广泛应用,人们渴望掌握这方面的知识,特别是掌握应用设计方面的知识的要求越来越迫切。其次,现代社会,以计算机技术为核心的

5、信息技术迅速发展,以及信息的爆炸式增长,人类获得的视觉信息很大部分是从各种各样的电子显示器件上获得的,对这些显示器件的要求也越来越高,在这些因素的驱动下,显示技术也取得了飞速的发展。而液晶显示器由于具有低压、微功耗、显示信息量大、体积小等特点,在移动通信终端、便携计算机、GPS卫星定位系统等领域有广泛用途,成为使用量最大的显示器件。液晶显示控制器作为液晶驱动电路的核心部件通常由集成电路组成,通过为液晶显示系统提供时序信号和显示数据来实现液晶显示。使用FPGA/CPLD设计的液晶控制器具有很高的灵活性,可以根据不同的液晶

6、类型、尺寸、使用场合特别是不同的工业产品,做一些特殊的设计,以最小的代价满足系统的要求,而且可以解决通用的液晶显示控制器本身固有的一些缺点。因此,在诸多科学以及社会环境影响因数下,本课题便把FPAG的应用与液晶显示器连接起来了,即设计基于FPAG的液晶显示接口电路。即本课题采用FPGA设计一个液晶显示模块LCM的接口控制电路,实现对LCM的有效控制,并且能显示中文和英文两种字符,下载并测试电路功能,并且能分析芯片资源的占用情况。2.基本内容和技术方案:基本内容:采用大规模可编程逻辑器件(Field-Programmab

7、leGateArray)设计一个液晶显示模块LCM的接口控制电路,以FPGA为核心,通过控制硬件电路和基于硬件描述语言(VHDL)的各功能模块:逻辑阵列块LAB(Logicarrayblock)、嵌入式阵列块EAB(embeddedarrayblock)、快速互联以及IO单元,以及外围驱动电路,能够实现对LCM的有效控制,并且能点阵液晶屏上显示中文和英文两种字符,还可以通过下载并测试电路功能,分析芯片资源的占用情况。技术方案:采用大规模可编程逻辑器件(Field-ProgrammableGateArray)设计与实现液

8、晶显示电路相结合,以FPGA为核心的液晶显示、控制硬件电路和基于硬件描述语言(VHDL)的各功能模块:逻辑阵列块LAB(Logicarrayblock)、嵌入式阵列块EAB(embeddedarrayblock)、快速互联以及IO单元,相应地设计了外围驱动电路;通过对驱动电路的分析,设计了时钟模块、串行接口电路、内部RAM块、读写

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。