基于fpga的fft算法说明书

基于fpga的fft算法说明书

ID:12805047

大小:2.44 MB

页数:44页

时间:2018-07-19

基于fpga的fft算法说明书_第1页
基于fpga的fft算法说明书_第2页
基于fpga的fft算法说明书_第3页
基于fpga的fft算法说明书_第4页
基于fpga的fft算法说明书_第5页
资源描述:

《基于fpga的fft算法说明书》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于VHDL的FFT算法小组成员:周泽,朱骏毅,吴肖伟摘要本实验主要目的是对输入的信号进行FFT算法,以便研究FFT算法的实现与原理本实验主要步骤:由单片机430产生一个正弦波信号,送入FPGA(EP2C5T114C8)进行FFT算法,FFT的结果再送入单片机430,最后在12864液晶上显示波形。硬件组成FFT算法FFT(FastFourierTransformation),即为快速傅氏变换,是离散傅氏变换的快速算法,它是根据离散傅氏变换的奇、偶、虚、实等特性,对离散傅立叶变换的算法进行改进获得的。是通过蝶形运算实现的。蝶形算法如

2、下X=A+WNKBY=A-WNKB基本原理的组成12864MSP430fpgaData[0..7]ClkData[0..7]Sink_davSink_enSink_sopClkSink_davSink_enSink_sop正弦波的生成此次实验仅以一个固定的正弦波来测试FFT算法的实现,其具体程序如下:#include44#include"BoardConfig.h"#include"math.h"#include"Cry12864.h"#definesink_sop0P1OUT&=~BIT2#define

3、sink_sop1P1OUT

4、=BIT2#defineinv_iP1OUT

5、=BIT3#definesink_dav0P1OUT&=~BIT0#definesink_dav1P1OUT

6、=BIT0#definereset0P1OUT&=~BIT1#definereset1P1OUT

7、=BIT1#definepi3.141592654ucharrealout[256];ucharimagout[256];ucharrealin[256];ucharline_table[16];voidmain(void){WDTCTL=WDTPW+W

8、DTHOLD;BoardConfig(0xbe);Ini_Lcd();//初始化液晶Clear_GDRAM();BCSCTL2

9、=SELS+DIVS_2;//P1.4时钟P1DIR

10、=BIT4;P1SEL

11、=BIT4;P1DIR

12、=BIT0+BIT1+BIT2+BIT3;uintcount=0;uchark;uinti,j,z=0;ucharx_line=0x80;P2DIR

13、=0x00;//realinP6DIR

14、=0x00;//imainP5DIR

15、=0xff;//realoutinv_i;reset0;for(j=0;j<25

16、6;j++){realin[j]=(uchar)(127*sin(0.0078431*pi*j)+128);}44sink_dav1;while((P1IN&0x80)==0x80)//sink_ena{sink_sop1;sink_sop0;for(count=0;count<256;count++){P5OUT=realin[count];}while((P1IN&0x40)==0x40);//sop{for(i=0;i<256;i++){realout[i]=P2IN;imagout[i]=P6IN;}}for(j=0;j<2

17、56;j++){dataout[j]=(uchar)sqrt(realout[j]^2+imagout[j]^2);//pingfang}}while(z<128){for(k=0;k<16;k++){line_table[k]=dataout[z];z++;}DRAW_Line(line_table,x_line);x_line++;}while(1){}FFT算法本次实验是基于256点的FFT算法,FFT算法由FPGA的IP核44生成,其具体程序如下:libraryIEEE;useIEEE.std_logic_1164.all;

18、libraryfft_lib;usefft_lib.fft_pack.all;ENTITYfft256ISPORT(clk:INSTD_LOGIC;reset:INSTD_LOGIC;master_sink_dav:INSTD_LOGIC;master_sink_sop:INSTD_LOGIC;master_source_dav:INSTD_LOGIC;inv_i:INSTD_LOGIC;data_real_in:INSTD_LOGIC_VECTOR(7DOWNTO0);data_imag_in:INSTD_LOGIC_VECTOR

19、(7DOWNTO0);fft_real_out:OUTSTD_LOGIC_VECTOR(7DOWNTO0);fft_imag_out:OUTSTD_LOGIC_VECTOR(7DOWNTO0);exponent_out:OUTSTD_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。