电子设计自动化实验报告

电子设计自动化实验报告

ID:12679226

大小:614.00 KB

页数:21页

时间:2018-07-18

电子设计自动化实验报告_第1页
电子设计自动化实验报告_第2页
电子设计自动化实验报告_第3页
电子设计自动化实验报告_第4页
电子设计自动化实验报告_第5页
资源描述:

《电子设计自动化实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、湖南工业大学理学院实验名称熟悉QuartusII的图形输入法实验地点理学楼210实验时间2016.10.16实验成绩一、实验目的及任务掌握QuartusII的使用方法(1)熟悉图形输入法(2)理解编译方法(3)了解定时仿真二、实验内容与步骤(1)设计一个二选一数据选择器、全加法器。(2)根据图形输入法编译和波形仿真。三、实验电路或者实验源程序二选一电路图:全加器电路图:21四、实验结果二选一结果图:全加器结果图:21实验名称熟悉QuartusII的VHDL语言描述输入法实验地点理学楼210实验时间2016.10.23实验成绩一、实验目的及任务(1)VHDL语言描述输入法(2)理解编译方法

2、(3)熟悉波形仿真二、实验内容与步骤(1)设计一个4位并行奇校验发生器(2)根据VHDL语言描述输入法编译和波形仿真三、实验电路或者实验源程序VHDL程序:libraryieee;useieee.std_logic_1164.all;entityparity_loopisport(a:instd_logic_vector(0to2);b:instd_logic;y:outstd_logic);endparity_loop;architectureaofparity_loopissignals:std_logic_vector(0to3);beginprocess(a)begins(0)<

3、=b;foriin0to2loops(i+1)<=s(i)xora(i);endloop;y<=s(3);endprocess;enda;电路图:21四、实验结果21实验名称JK触发器的设计实验地点理学楼210实验时间2016.10.31实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1)掌握VHDL语言描述输入法(2)掌握VHDL语言二、实验内容与步骤(1)设计一个JK触发器(2)根据VHDL语言描述输入法编译和波形仿真。输入输出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不变不变11上升沿010111上升沿101011

4、上升沿11翻转翻转其中预置端prn复位端clr时钟端clk三、实验电路或者实验源程序源程序:四、实验结果2121实验名称6位双向移位寄存器的设计实验地点理学楼210实验时间2016.11.03实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1)掌握VHDL语言描述输入法(2)掌握VHDL语言(3)理解if语句进行描述计数器。(4)设计一个6位双向移位寄存器二、实验内容与步骤(1)根据VHDL语言描述输入法编译和波形仿真。端口说明:预置数据输入端:predata脉冲输入端:clk移位寄存器输出端:dout工作模式控制端:M1,M0左移串行数据输入:ds1右移串行数

5、据输入(低位向高位):dsr寄存器复位端:reset(2)用QuartusII软件编译和波形仿真(3)工作模式控制表:M1M0模式00保持01右移10左移11预加载三、实验电路或者实验源程序源程序:21四、实验结果前半段后半段21实验名称电子钟的VHDL程序设计实验地点理学楼210实验时间2016.11.10实验成绩一、实验目的及任务掌握QuartusII的VHDL语言描述输入法(1)掌握VHDL语言描述输入法(2)掌握VHDL语言(3)掌握VHDL语言描述和图形设计的结合(4)设计一个含时、分、秒的时钟(5)用QuartusII软件编译和波形仿真二、实验内容与步骤(1)设计电子钟的VH

6、DL程序(2)根据VHDL语言描述输入法编译和波形仿真。三、实验电路或者实验源程序源程序:(1)60进制(分和秒):21(1)十进制VHDL:(3)六进制VHDL:(4)24进制(时):21(4)电子时钟顶层文件四、实验结果21实验名称七段数码显示译码器设计实验地点理学楼210实验时间2016.11.24实验成绩一、实验目的及任务(1)掌握使用并行下载程序(2)掌握数码显示的原理(3)掌握FPGA开发板的基本结构(4)设计一个能显示1-9数字的程序,用数码管显示数字二、实验内容与步骤(1)设计译码器的VHDL程序(由计数器得到译码器的输入值)(2)将VHDL程序下载到FPGA芯片中(3)

7、连接连线,观察数码显示的结果(共阴数码管)三、实验电路或者实验源程序21源程序:四、实验结果21实验名称预置分频器实验实验地点理学楼210实验时间2016.12.01实验成绩一、实验目的及任务(1)掌握使用并行下载程序(2)掌握VHDL语言(3)掌握分频器的设计方法(4)设计一个预置分频器,用扬声器测试分频结果(5)掌握if语句二、实验内容与步骤(1)根据VHDL语言描述输入法编译和波形仿真(2)将VHDL程序下载到FPGA芯片中(

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。