基于fpga的数字钟的设计

基于fpga的数字钟的设计

ID:1261045

大小:244.11 KB

页数:21页

时间:2017-11-09

基于fpga的数字钟的设计_第1页
基于fpga的数字钟的设计_第2页
基于fpga的数字钟的设计_第3页
基于fpga的数字钟的设计_第4页
基于fpga的数字钟的设计_第5页
资源描述:

《基于fpga的数字钟的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、攀枝花学院课程设计论文数字钟的设计数字钟的设计学生姓名:XXX学生学号:2008XXXX院(系):电气信息工程学院年级专业:20XX级电子信息工程班小组:XXXX指导教师:XXXX二零XX年X月XX日2攀枝花学院课程设计论文数字钟的设计摘要本设计为一个多功能的数字钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。系统由时钟模块、控制模块、计

2、时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,由按键输入进行数字钟的清零、启停功能。关键词数字钟,硬件描述语言,VHDL,FPGA16攀枝花学院课程设计论文数字钟的设计AbstractThedesignforamulti-functionaldigitalclock,withhours,minutesandsecondscountdisplaytoa24-hourcyclecount;haveprooffunctionsfunction.TheuseofE

3、DAdesigntechnology,hardware-descriptionlanguageVHDLdescriptionlogicmeansforthesystemdesigndocuments,inQUAETUSIItoolsenvironment,atop-downdesign,bythevariousmodulestogetherbuildaFPGA-baseddigitalclock.Themainsystemmakeupoftheclockmodule,controlmodule,timemodule,datadecod

4、ingmodule,displayandbroadcastmodule.Aftercompilingthedesignandsimulationprocedures,theprogrammablelogicdevicetodownloadverification,thesystemcancompletethehours,minutesandsecondsrespectively,usingkeystocleared,startandstopthedigitalclock.Keywordsdigitalclock,hardwaredes

5、criptionlanguage,VHDL,FPGA16攀枝花学院课程设计论文数字钟的设计目录摘要IABSTRACTII1题目的意义和设计的要求11.1题目的意义11.2设计的要求12设计的基本原理23设计方案43.1设计思路43.2各模块的模块图和功能43.2.1分频器模块43.2.2六进制计数器模块63.2.3十进制计数器模块73.2.4二十四进制计数器模块83.2.5译码器模块103.2.6顶层文件模块114各模块的仿真144.1分频器模块仿真图144.2六进制计数器模块仿真图144.3十进制计数器模块仿真图144.4二十四进制

6、计数器模块仿真图144.5译码器模块仿真图154.6顶层文件模块仿真图155结论16参考文献1716攀枝花学院课程设计论文数字钟的设计1题目的意义和设计的要求1.1题目的意义现在是一个知识爆炸的新时代。新产品、新技术层出不穷,电子技术的发展更是日新月异。可以毫不夸张的说,电子技术的应用无处不在,电子技术正在不断地改变我们的生活,改变着我们的世界。在这快速发展的年代,时间对人们来说是越来越宝贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。因此我们需要一个定时系统来提醒这些忙碌的人。数字化的

7、钟表给人们带来了极大的方便。近些年,随着科技的发展和社会的进步,人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求。多功能数字钟不管在性能还是在样式上都发生了质的变化,有电子闹钟、数字闹钟等等。1.2设计的要求本设计主要研究基于FPGA的数字钟,要求时间以24小时为一个周期,显示时、分、秒。采用1HZ的基准信号产生1S的基准时间,秒的个位加到10就向秒的十位进一,秒的十位加到6就向分的个位进一,分的个位加到10就向分的十位进一,分的十位加到6就向时进一。该时钟具有清零功能,可以对时、分及秒进行清零,为了保证计时的稳定及准确须

8、由晶体振荡器提供时间基准信号。该系统是基于FPGA的设计,采用VHDL进行系统功能描述,采用自顶向下的设计方法,用QUARTUSⅡ软件进行仿真测试。16攀枝花学院课程设计论文数字钟的设计2设计的基本原理振荡器产生稳定的高

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。