基于fpga的eeprom设计

基于fpga的eeprom设计

ID:1259062

大小:698.50 KB

页数:36页

时间:2017-11-09

基于fpga的eeprom设计_第1页
基于fpga的eeprom设计_第2页
基于fpga的eeprom设计_第3页
基于fpga的eeprom设计_第4页
基于fpga的eeprom设计_第5页
资源描述:

《基于fpga的eeprom设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、河南大学物理与电子学院钱大成二线制I2CCMOS串行EEPROM的FPGA设计姓名:钱大成学号:080230114院系:物理院电子系2011年1月1日36河南大学物理与电子学院钱大成一、课程设计摘要:(1)背景知识:A、基本介绍:二线制I2CCMOS串行EEPROMAT24C02/4/8/16是一种采用CMOS工艺制成的串行可用电擦除可编程只读存储器。B、I2C(InterIntegratedCircuit)总线特征介绍:I2C双向二线制串行总线协议定义如下:只有在总线处于“非忙”状态时,数据传输才能被初始化。在数

2、据传输期间,只要时钟线为高电平,数据线都必须保持稳定,否则数据线上的任何变化都被当作“启动”或“停止”信号。图1是被定义的总线状态。①总线非忙状态(A段)数据线SDA和时钟线SCL都保持高电平。②启动数据传输(B段)当时钟线(SCL)为高电平状态时,数据线(SDA)由高电平变为低电平的下降沿被认为是“启动”信号。只有出现“启动”信号后,其它的命令才有效。36河南大学物理与电子学院钱大成③停止数据传输(C段)当时钟线(SCL)为高电平状态时,数据线(SDA)由低电平变为高电平的上升沿被认为是“停止”信号。随着“停在”

3、信号出现,所有的外部操作都结束。④数据有效(D段)在出现“启动”信号以后,在时钟线(SCL)为高电平状态时数据线是稳定的,这时数据线的状态就要传送的数据。数据线(SDA)上的数据的改变必须在时钟线为低电平期间完成,每位数据占用一个时钟脉冲。每个数传输都是由“启动”信号开始,结束于“停止”信号。⑤应答信号每个正在接收数据的EEPROM在接到一个字节的数据后,通常需要发出一个应答信号。而每个正在发送数据的EEPROM在发出一个字节的数据后,通常需要接收一个应答信号。EEPROM读写控制器必须产生一个与这个应答位相联系的

4、额外的时钟脉冲。在EEPROM的读操作中,EEPROM读写控制器对EEPROM完成的最后一个字节不产生应答位,但是应该给EEPROM一个结束信号。C、3.二线制I2CCMOS串行EEPROM读写操作①EEPROM的写操作(字节编程方式)所谓EEPROM的写操作(字节编程方式)就是通过读写控制器把一个字节数据发送到EEPROM中指定地址的存储单元。其过程如下:EEPROM读写控制器发出“启动”信号后,紧跟着送4位I2C总线器件特征编码1010和3位EEPROM芯片地址/页地址XXX以及写状态的R/W位(=0),到总线

5、上。这一字节表示在接收到被寻址的EEPROM36河南大学物理与电子学院钱大成产生的一个应答位后,读写控制器将跟着发送1个字节的EEPROM存储单元地址和要写入的1个字节数据。EEPROM在接收到存储单元地址后又一次产生应答位以后,读写控制器才发送数据字节,并把数据写入被寻址的存储单元。EEPROM再一次发出应答信号,读写控制器收到此应答信号后,便产生“停止”信号。字节写入帧格式如图2所示:②二线制I2CCMOS串行EEPROM的读操作所谓EEPROM的读操作即通过读写控制器读取EEPROM中指定地址的存储单元中的一

6、个字节数据。串行EEPROM的读操作分两步进行:读写器首先发送一个“启动”信号和控制字节(包括页面地址和写控制位)到EEPROM,再通过写操作设置EEPROM存储单元地址(注意:虽然这是读操作,但需要先写入地址指针的值),在此期间EEPROM会产生必要的应答位。接着读写器重新发送另一个“启动”信号和控制字节(包括页面地址和读控制位R/W=1),EEPROM收到后发出应答信号,然后,要寻址存储单元的数据就从SDA线上输出。读操作有三种:读当前地址存储单元的数据、读指定地址存储单元的数据、读连续存储单元的数据。在这里只

7、介绍读指定地址存储单元数据的操作。读指定地址存储单元数据的帧格式如图3:36河南大学物理与电子学院钱大成(2)实现功能及设计思路:EEPROM是要实现接收来自信号源模型产生的读信号、写信号、并行地址信号、并行数据信号,并把它们转换为相应的串行信号发送到串行EEPROM(AT24C02/4/8/16)的行为模型中去的功能,同时它还发送应答信号(ACK)到信号源模型,以便让信号源来调节发送或接收数据的速度以配合EEPROM模型的接收(写)和发送(读)数据。其基本设计思路是利用同步有限状态机的设计方法实现,根据串行EEP

8、ROM的读写特性,用五个状态时钟完成写操作,用七个状态时钟完成读操作,通过编写EEPROM读写器的模块以及随机读写数据模块,再加上信号产生模块,最终封装在一块完成初步的设计。36河南大学物理与电子学院钱大成二、系统设计部分(1)整体设计的组织结构(2)子单元设计结构:①EEPROM的行为模型为了设计这样一个电路我们首先要设计一个EEPROM的VerilogH

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。