基于fpga技术的多人抢答器设计

基于fpga技术的多人抢答器设计

ID:11849660

大小:1.03 MB

页数:71页

时间:2018-07-14

基于fpga技术的多人抢答器设计_第1页
基于fpga技术的多人抢答器设计_第2页
基于fpga技术的多人抢答器设计_第3页
基于fpga技术的多人抢答器设计_第4页
基于fpga技术的多人抢答器设计_第5页
资源描述:

《基于fpga技术的多人抢答器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计课题名称:基于FPGA技术的多人抢答器设计院系名称专业班级学生姓名学号指导教师完成日期:摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。若抢答时间内无人抢答,则报警灯亮。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。因此基于EDA技术的抢答器的逻辑功能,该电

2、路应包括抢答器鉴别模块、抢答器计数模块、报警模块、译码模块、分频模块。关键词:抢答鉴别、FPGA、计数、报警AbstractResponderistheanswerforthequizparticipantstoanswerinthedesignwhenaprioritydecisioncircuit,andtheracecanbedividedintoseveralgroups,answerineachgrouponthehostissuesraisedintheshortestpossiblet

3、imetomakejudgments,andpresstheanswerinanswerkey.Afterpressingthebuttonwhenthefirstperson,thenthedisplayshowsthenumberofthegroup,thecorrespondinglights,whileothergroupswillbekeycircuitblock,itdoesnotwork.Iftheanswerintime,noanswerin,thealarmlights.Answe

4、ringquestions,allthekeysfromthehosttorestoreandre-startthenextroundoftheResponder.Sotocompletetheansweringdevicelogicfunctions,thecircuitshouldincludeResponderidentificationmodule,Respondercountingmodule,alarmmodule,decodingmodule,frequencymodule.Keywo

5、rds:ResponderIdentification、Blockade、Count、Alarm目录摘要2Abstract2目录3一、EDA的发展历史4二、EDA技术的概念与应用42.1EDA技术的基本概念42.2EDA工具软件52.2.1电子电路设计与仿真工具52.2.2PCB设计软件62.2.3IC设计软件72.2.4PLD设计工具82.2.5其它EDA软件102.3EDA的应用102.4EDA技术的发展趋势11三、选用的开发平台12四、设计要求与方案134.1设计要求134.2方案设计与论证1

6、34.3单元电路设计144.3.1抢答鉴别模块144.3.2计数模块154.3.3报警模块164.3.4七段译码器模块174.3.5分频模块174.3.6顶层文件19五、锁定引脚及下载215.1选择锁定引脚,再重新编译一次215.2锁定引脚:21六、总结21参考文献22一、EDA的发展历史20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,

7、已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大

8、地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。