《eda技术及应用》实验指导书

《eda技术及应用》实验指导书

ID:11399754

大小:659.01 KB

页数:132页

时间:2018-07-11

《eda技术及应用》实验指导书_第1页
《eda技术及应用》实验指导书_第2页
《eda技术及应用》实验指导书_第3页
《eda技术及应用》实验指导书_第4页
《eda技术及应用》实验指导书_第5页
资源描述:

《《eda技术及应用》实验指导书》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、132《EDA技术及应用》实验指导书实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。2、掌握组合逻辑电路的静态测试方法。3、初步了解MAXPLUSII原理图输入设计的全过程。二、实验主要仪器与设备1、输入:DIP拨码开关3位。2、输出:LED灯。3、主芯片:EP1K10TC100-3。三、实验内容及原理三-八译码器即三输入,八输出。输出与输入之间的对应关系如表1-1-1所示。表1-1-1三-八译码器真值表输入输出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y00000000000100100000010010000001000110000

2、10001000001000010100100000110100000011110000000四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。五、实验步骤(一)建立工程目录建立一个工程目录的主要目的是为了便于管理在系统编译、综合的过程中产生的许多临时文件和附属文件。例如建立工程目录E:TEST1来存放这个工程所生成的所有文件。通过系统的“开始”菜单进入MAX+plusII集成环境。如图1-1-1所示:132132《EDA技术及应用》实验指导书图1-1-1(二)建立一个新文件在图1-1-1点击“FileNew”出现如图1-1-2所示的对话框。

3、图1-1-2选中GrapicEditorFile,进入原理图设计输入电路编辑状态,如图1-1-3所示。图1-1-31、放置一个器件在原理图上。<1>在原理图的空白处双击鼠标左键,出现图1-1-4。132132《EDA技术及应用》实验指导书图1-1-4<2>在光标处输入元件名称或用鼠标点击元件,按下OK即可。<3>如果安放相同元件,只要按住CTRL键,同时用鼠标拖动该元件。<4>图1-1-5为元件安放结果。图1-1-42、添加连线到器件的管脚上。图1-1-5132132《EDA技术及应用》实验指导书把鼠标移到引脚附近,则鼠标光标自动由箭头变位十字,按住鼠标左键拖动,即可画出连线。如图1-

4、1-6示。图1-1-62、保存原理图单击保存按扭,选择工程目录,合适名称保存。本实验取名test1.gdf。(三)选取芯片型号点击AssignDevice菜单,出现图1-1-7对话框,依据设计要求选择器件。(本实验一律选用EP1K10TC100-3)图1-1-7图中的下方有一个“ShowOnlyFastestSpeedGrades”选项,如果有“Device”选项中没有你需要的芯片,可以选取这个选项,这样就会出现所有的芯片的选择项目。(四)对文件进行编译点击“FileProjectSetProjecttoCurrentFile”选项,设置为当前文件。启动MAX+PLUSIICO

5、MPILER菜单,按START开始编译,并显示编译结果,生成.sof,.pof文件,以备硬件下载和编程时调用。同时生成.rpt文件,可详细查看编译结果。如图1-1-8。132132《EDA技术及应用》实验指导书图1-1-8(五)管脚的重新分配,定位启动MAX+PLUSIIFloorplanEditor菜单命令,出现如图1-1-9所示的画面:图1-1-9FloorplanEditor显示该设计项目的管脚分配。这是由软件自动分配的。用户可随意改变管脚分配。管脚编辑过程如下:<1>按下,所有输入、输出口都会出现在UnassignedNodes栏框内。<2>用鼠标按住某输入/输出口名称,并拖

6、到下面芯片的某一管脚上,松开鼠标左键,便完成一个管脚的分配。注意:芯片上有一些特定功能管脚,进行管脚编辑时一定要注意。另外,在芯片选择中,如果选Auto,则不允许对管脚进行再分配。管脚分配之后,要再编译一次,将管脚分配信息加入下载文件中。(六)电路板上的连线根据管脚分配图,将EP1K10TC100-3的输入输出管脚与实验箱的各器件相连。(七)器件的下载编程<1>启动Max+plusIIProgrammer菜单。<2>选择JTAGMulti-DeviceJTAGChain菜单项。<3>启动JTAGMulti-DeviceJTAGChainSetup…菜单项。<4>点击Selectp

7、rogrammimgFile…按扭,选择要下载的.sof文件。然后按Add加到文件列表中。132132《EDA技术及应用》实验指导书<5>如果不能正确下载,可点击DetectJTAGChainInfoan按扭进行测试,查找原因。最后按OK退出。<6>按configure按扭完成下载。六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。