密码锁功能eda课程设计报告

密码锁功能eda课程设计报告

ID:10591865

大小:194.08 KB

页数:16页

时间:2018-07-07

密码锁功能eda课程设计报告_第1页
密码锁功能eda课程设计报告_第2页
密码锁功能eda课程设计报告_第3页
密码锁功能eda课程设计报告_第4页
密码锁功能eda课程设计报告_第5页
资源描述:

《密码锁功能eda课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第1章设计说明1.1总体设计说明本实验中说要求设计的电子密码锁密码为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。下面对每一大部分分别进行讨论:①、矩阵键盘接口电路设计,由于硬件电路没有提供该矩阵键盘,用开关代替该部分电路。②、密码锁的控制电路设计③、输出七段显示电路的设计1.2密码锁功能说明①、数据输入:每按一个数字键,就输入一个数值,并在显示器上的最右上方显示出该数字,并将先前已经输入的数据依序左移一个数字位置。②、数码清除:按下此键可以清除前面所有的输入值,清除成为“00

2、00”。③、密码更改:按下此键时将目前的数字设定成新的密码。④、激活电锁:按下此键可将密码锁上锁。⑤、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。16/16第2章硬件说明本设计所需的硬件主要有:七段译码器、发光二极管(用来模拟电子锁,红灯亮为加锁,绿灯亮为解锁)、EPF10K10LC84-4适配器。我们使用教学使用的EDA试验箱,用独立式开关按键,七段译码器,有发光二极管,有红色和绿色,芯片为EPF10K10LC84-4。16/16第3章设计内容程序包括六个逻辑元件,分别为:数字按键输入、控制功能按键

3、输入、时钟分频器、处理核心、输出处理和七段译码器。3.1数字按键输入3.1.1功能介绍读取数字键0~9。高电平表示按键未按下,低电平表示按键按下。按照0~9顺序读取,只能输出1位数字。输出4位二进制代码,“0000”~“1001”表示0~9,用“1010”表示无输入。3.1.2程序输入1.建立项目文件2.建立设计文件在MAX+PLUSⅡ管理窗口中选择FileNew命令,建立文本编辑区,并在FileName文本框中输入shuzishuru.vhd,存盘。3.编辑程序在文本编辑区内,输入程序,程序如下:libraryi

4、eee;useieee.std_logic_1164.all;entityshuzishuruisport(numin:INstd_logic_vector(9downto0);numstate,clk:INstd_logic;numout:OUTstd_logic_vector(3downto0));endshuzishuru;architectureEDAofshuzishuruissignalstate:std_logic;signalmem:std_logic_vector(9downto0);beginpr

5、ocess(clk)beginifclk'eventandclk='1'thenifstate/=numstatethen16/16ifmem/=numinthencasenuminiswhen"1111111110"=>numout<="0000";when"1111111101"=>numout<="0001";when"1111111011"=>numout<="0010";when"1111110111"=>numout<="0011";when"1111101111"=>numout<="0100";whe

6、n"1111011111"=>numout<="0101";when"1110111111"=>numout<="0110";when"1101111111"=>numout<="0111";when"1011111111"=>numout<="1000";when"0111111111"=>numout<="1001";whenothers=>numout<="1010";endcase;state<=numstate;elsenumout<="1010";endif;mem<=numin;endif;endif;

7、endprocess;endEDA;4.保存文件并检查语法错误在MAX+PLUSⅡ管理窗口中选择File/Project/Save&Check命令,可将变异的文件存盘并检查语法错误,如果有错误则返回编辑区内修改。5.建立默认符号在MAX+PLUSⅡ管理窗口中选择File/CreateDefaultSymbol命令,建立一个符号文件供顶层图形设计文件调用。16/163.2控制功能输入3.2.1功能介绍读取控制功能按键-清除按键,修改密码,锁定,解锁。高电平表示按键未按下,低电平表示按键按下。按照“清除按键,修改密码,锁

8、定,解锁”顺序读取,只能输出一位控制信号。输出3位二进制代码,“001”~“100”表示“清除按键,修改密码,锁定,解锁”,用“000”表示无输入。3.2.2程序输入1.建立项目文件2.建立设计文件在MAX+PLUSⅡ管理窗口中选择FileNew命令,建立文本编辑区,并在FileName文本框中输入gongnengshuru.vhd,存盘。3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。