基于fpga的曼彻斯特编码器的设计学位论文 .doc

基于fpga的曼彻斯特编码器的设计学位论文 .doc

ID:10401688

大小:1.56 MB

页数:44页

时间:2018-07-06

基于fpga的曼彻斯特编码器的设计学位论文  .doc_第1页
基于fpga的曼彻斯特编码器的设计学位论文  .doc_第2页
基于fpga的曼彻斯特编码器的设计学位论文  .doc_第3页
基于fpga的曼彻斯特编码器的设计学位论文  .doc_第4页
基于fpga的曼彻斯特编码器的设计学位论文  .doc_第5页
资源描述:

《基于fpga的曼彻斯特编码器的设计学位论文 .doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、电子科技大学毕业设计电子科技大学毕业设计(论文)题目:基于FPGA的曼彻斯特编码器的设计专业:电子信息工程电子科技大学毕业设计目录1绪论1.1选题的依据和意义11.2项目研究内容和任务11.3论文内容及结构22FPGA原理及开发工具2.1FPGA原理32.2QuartusⅡ及开发语言43曼彻斯特编码原理3.11553B数据总线83.2曼彻斯特编码原理104系统总体设计4.1系统设计要求114.2系统设计思路114.3系统设计框图125系统模块设计5.1并串转换器的设计135.2曼彻斯特编码器设计146系统仿真及结果分析6.1并串转换器仿真及结果分析196.2曼彻斯特编码器仿真及结

2、果分析266.3系统总体仿真及结果分析297结论及展望34参考文献35致谢36附录A37附录B41电子科技大学毕业设计基于FPGA的曼彻斯特编码器的设计摘要电子技术与航空产业飞速发展,已成为我国支柱产业之一。我国政府高度重视航空航天产业发展,“十二五”期间将进一步加大政府支持力度,促进航空航天产业快速发展。在飞机制造中,航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。11553B作为一种通用的军事标准协议,它对数据总线的电气和协议特性进行严格的规范和约束,现已广泛运用于航空电子综合系统。该标准对航空飞机所需的数字/命令/响应,分时复用等数字总线技术提出了

3、一系列的要求,其中包括数据总线的传输和协议格式。本文首先对FPGA和曼彻斯特编码相关原理进行概述,尤其是航空数据总线MIL-STD-1553B。其次是对其系统组成的介绍,本次设计主要包括两大部分,并串转换器、曼彻斯特编码器。最后着重讲述该系统在quartusⅡ软件下的运行和仿真。1553B总线协议中对传输的字类型进行了规范和分类:分别是命令字、状态字和数据字。本次论文的设计主要着重于该协议总线接口内部的曼彻斯特码编码器,作为总线接口的重要组成部分,曼彻斯特编码器担负着码型编写、奇偶校验等重要任务,使数据能够以1553B所定义的标准形式在数据总线中进行传输。关键词:FPGA曼彻斯特

4、编码1553B总线串并转换电子科技大学毕业设计DesignofManchesterEncoderBasedonFPGAAbstractElectronicsandAviationtechnologyindustrydevelopedrapidly.Ourcountryattachesgreatimportancetothedevelopmentofaerospaceindustry.IntheperiodofTwelfthofFive-YeargovernmentwillsupportfurtherincreaseAviation,itwillPromotetherapiddev

5、elopmentoftheaerospaceindustry.Inaircraftmanufacturing,AvionicsSystemisanimportantpartoftheAviation.Theavionicssystemisthekeytechnologyofdatabus.1553Btakesonekindofmilitarystandard,ithascarriedonthestrictstandardandtherestrainttotheelectricityandtheprotocolcharacteristicofdatabus,andithasalre

6、adywidelyusedintheaviationelectronsystem.ThisstandardproposeaseriesofrequeststothedigitalbustechnologywhichareobligatorytotheaviationairplanenumeraI/Order/response,timesharingmultipleuse,includingdatabusinformationflowandfunctionformat.ThisarticlefirstintroducesabouttheFPGAandManchesterEncode

7、r,especiallyMIL-STD-1553B.Nextistheintroductionofitstwocompositionunit,ItincludingStringandconvertandManchesterEncoder.FhentheonethattoldemphaticallyisquartusⅡofOperationandSimulation,inthe1553B'sprotocol,thewordtypeswhichtransmittedinthe1553

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。