基于fpga的曼彻斯特编码器的设计 毕业论文

基于fpga的曼彻斯特编码器的设计 毕业论文

ID:13094356

大小:1.70 MB

页数:48页

时间:2018-07-20

基于fpga的曼彻斯特编码器的设计 毕业论文_第1页
基于fpga的曼彻斯特编码器的设计 毕业论文_第2页
基于fpga的曼彻斯特编码器的设计 毕业论文_第3页
基于fpga的曼彻斯特编码器的设计 毕业论文_第4页
基于fpga的曼彻斯特编码器的设计 毕业论文_第5页
资源描述:

《基于fpga的曼彻斯特编码器的设计 毕业论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)题目:基于FPGA的曼彻斯特编码器的设计系别信息工程系专业名称电子信息工程班级学号078205224学生姓名林玉指导教师邹琼二O一一年六月毕业设计(论文)任务书I、毕业设计(论文)题目:基于FPGA的曼彻斯特编码器的设计II、毕业设计(论文)使用的原始资料(数据)及设计技术要求:MIL-STD-1553B是一种集中控制式、时分指令/响应型多路串行数据总线标准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的有效解决方案,广泛地应用于飞机、舰船、坦克等武器平台上,并且越来越多地应用到民用领域。MIL-STD-1553B总线上的数据以双相曼彻斯特编码的方式传输。15

2、53B总线曼彻斯特码编码器的主要功能就是把来自外部的并行二进制数据转化为1553B总线上传输的串行信息,并且对这些串行数据进行曼彻斯特码编码,再加上同步头和奇偶效验位,使之成为能够以1553B总线协议所要求的格式在总线中进行传输。本课题基于FPGA平台实现曼彻斯特编码器的设计。III、毕业设计(论文)工作内容及完成时间:第1周-第3周:资料查找、方案论证、英文资料翻译、开题报告撰写。第4周-第7周:学习MIL-STD-1553B总线的相关知识,熟悉曼彻斯特编码器原理。第8周-第14周:熟悉FPGA编程,使用VHDL语言完成曼彻斯特编码器的设计。第15周-第17周:归总系统文档,撰写毕业

3、论文。第18周:准备答辩。第1周-第3周:资料查找、方案论证、英文资料翻译、开题报告撰写。Ⅳ、主要参考资料:[1].徐志军.EDA技术与VHDL设计[M].北京:电子工业出版社,2009.[2].潘松,赵敏.EDA技术及其应用[M].北京:科学出版社,2008.[3].李洪伟等.基于QuartusII的FPGA/CPLD设计[M].北京:电子工业出版社,2006.[4].杨凯.MIL-STD-1553B总线曼彻斯特码编码器的设计与实现[D].四川大学,2006.[5].唐剑,王勇.MIL-STD-1553B总线曼彻斯特码编码器的CPLD实现[J].技术与市场,2008,2.[6].石红

4、梅,刘泳.采用FPGA实现1553B总线接口专用芯片设计[C].第十三届全国遥测遥控技术年会论文,2004.[7].解传军,王海滨.基于FPGA的航空总线协议接口设计[J].电子设计工程,2009,5.[8].郑友泉.现场可编程门阵列[J].世界电子元器件,2005,10.信息工程系电子信息工程专业类0782052班学生(签名):填写日期:2010年11月20日指导教师(签名):助理指导教师(并指出所负责的部分):系主任(签名):附注:任务书应该附在已完成的毕业设计说明书首页。学士学位论文原创性声明本人声明,所呈交的论文是本人在导师的指导下独立完成的研究成果。除了文中特别加以标注引用的

5、内容外,本论文不包含法律意义上已属于他人的任何形式的研究成果,也不包含本人已用于其他学位申请的论文或成果。对本文的研究作出重要贡献的个人和集体,均已在文中以明确方式表明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权南昌航空大学科技学院可以将本论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。作者签名:日期:导师签名:日期:基于FPGA的曼彻斯特编码器的

6、设计学生姓名:林玉班级:0782052指导老师:邹琼摘要:电子技术与航空产业飞速发展,已成为我国支柱产业之一。我国政府高度重视航空航天产业发展,“十二五”期间将进一步加大政府支持力度,促进航空航天产业快速发展。在飞机制造中,航空电子系统是其中重要的组成部分,而航空电子系统中数据总线是关键技术之一。11553B作为一种通用的军事标准协议,它对数据总线的电气和协议特性进行严格的规范和约束,现已广泛运用于航空电子综合系统。该标准对航空飞机所需的数字/命令/响应,分时复用等数字总线技术提出了一系列的要求,其中包括数据总线的传输和协议格式。本文首先对FPGA和曼彻斯特编码相关原理进行概述,尤其是

7、航空数据总线MIL-STD-1553B。其次是对其系统组成的介绍,本次设计主要包括两大部分,并串转换器、曼彻斯特编码器。最后着重讲述该系统在quartusⅡ软件下的运行和仿真。1553B总线协议中对传输的字类型进行了规范和分类:分别是命令字、状态字和数据字。本次论文的设计主要着重于该协议总线接口内部的曼彻斯特码编码器,作为总线接口的重要组成部分,曼彻斯特编码器担负着码型编写、奇偶校验等重要任务,使数据能够以1553B所定义的标准形式在数据总线中

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。