杭电计算机组成原理取指令与指令译码实验.doc

杭电计算机组成原理取指令与指令译码实验.doc

ID:58543483

大小:55.00 KB

页数:4页

时间:2020-05-19

杭电计算机组成原理取指令与指令译码实验.doc_第1页
杭电计算机组成原理取指令与指令译码实验.doc_第2页
杭电计算机组成原理取指令与指令译码实验.doc_第3页
杭电计算机组成原理取指令与指令译码实验.doc_第4页
资源描述:

《杭电计算机组成原理取指令与指令译码实验.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理实验项目:取指令与指令译码实验指导教师:实验位置:姓名班级学号:日期:实验目的(1)学习指令存储器的设计(2)掌握CPU取指令与指令译码的方法和过程实验环境1.ISEDesignSuite14.62.DigilentAdept3.Nexys3实验板实验内容(算法、程序、步骤和方法)本实验的目的,设计一个只读储存器作为指令储存器,用来单独储存程序.包括²指令存储器²程序计数器PC²PC自增加法器²指令译码器本实验,取指令操作:根据PC内容到指令储存器中取出指令,然后PC自增,必须在下一

2、个周期开始时赋值给PC,指定的一个中间PC_new,除此之外还有个rest重新开始的信号.存储器的设计和实验五的类似的按照方法设计.1.顶层模块moduleTest_Fetch_Inst(inputrst,inputclk,input[1:0]C,outputreg[7:0]LED);wire[31:0]Inst_code;Fetch_Insttest(rst,clk,Inst_code);always@(*)begincase(C)2'b00:LED=Inst_code[7:0];2'b01:LED=Inst_code[15:8];2'b

3、10:LED=Inst_code[23:16];2'b11:LED=Inst_code[31:24];endcaseendendmodule1.指令的提取模块moduleFetch_Inst(inputrst,inputclk,output[31:0]Inst_code);wire[31:0]PC_new;reg[31:0]PC;initialPC=32'h;Inst_addrInst_mem(.clka(clk),.addra(PC[7:2]),.douta(Inst_code));assignPC_new=PC+4;always@(po

4、sedgerstornegedgeclk)beginif(rst)PC=32'h;elsePC={24'h,PC_new[7:0]};endendmodule(接上)实验内容(算法、程序、步骤和方法)1.管脚配置NET"C[0]"LOC=V8;NET"C[1]"LOC=T5;NET"LED[0]"LOC=U16;NET"LED[1]"LOC=V16;NET"LED[2]"LOC=U15;NET"LED[3]"LOC=V15;NET"LED[4]"LOC=M11;NET"LED[5]"LOC=N11;NET"LED[6]"LOC=R11;NE

5、T"LED[7]"LOC=T11;NET"clk"LOC=C9;NET"rst"LOC=B8;数据记录和计算实验仿真波形:结论(结果)实验的结果正确,,本实验比较之前的实验,增加了一些东西,进行了一些的限制,只能读,指令自动的增加其自身的地址.都能正确的显示在LED灯上显示出来.试验心得与小结本实验,对于寄存器的限制,增加了一些功能,基本上和之前的实验差别不大,能够很快的理解.比如MemoryIP核的知识都是直接可以利用前面实验类似的操作的,直接使用其创造的模板就好了。这些都是前面的知识。指导教师评议成绩评定:指导教师签名:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。