用vhdl设计D触发器.doc

用vhdl设计D触发器.doc

ID:56760878

大小:32.50 KB

页数:1页

时间:2020-07-07

用vhdl设计D触发器.doc_第1页
资源描述:

《用vhdl设计D触发器.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA设计清0,置数信号的D触发器程序清单:libraryieee;useieee.std_logic_1164.all;entitydff1isport(clk,d,clr,reset:instd_logic;q:outstd_logic);enddff1;architectureexxofdff1isbeginprocess(clk,clr,reset)beginif(clr='1')thenq<='0';elsif(clk'eventandclk='1')thenif(reset='0')thenq<='1';elseq<=d;endif;endif;

2、endprocess;endexx;仿真波形:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。