VHDL语言带同步置位、复位的D触发器.doc

VHDL语言带同步置位、复位的D触发器.doc

ID:48359539

大小:22.00 KB

页数:2页

时间:2019-11-26

VHDL语言带同步置位、复位的D触发器.doc_第1页
VHDL语言带同步置位、复位的D触发器.doc_第2页
资源描述:

《VHDL语言带同步置位、复位的D触发器.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、VHDL语言编写的带同步置位/复位的D触发器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytrigger_disport(clk,d,sreset,sset:instd_logic;--同步复位端sreset,同步置位端ssetq,qf:outstd_logic);endentity;architectureartoftrigger_disbeginprocess(clk,d,sreset,sset)beginifclk'eventandcl

2、k='1'thenifsreset='1'thenq<='0';qf<='1';--同步复位端sreset,高电平有效,复位elsifsset='1'thenq<='1';qf<='0';--同步置位端sset,高电平有效,置位elseq<=d;qf<=not(d);endif;endif;endprocess;endarchitectureart;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。