2019CRC校验码系统的设计

2019CRC校验码系统的设计

ID:42621137

大小:24.11 KB

页数:16页

时间:2019-09-19

2019CRC校验码系统的设计_第1页
2019CRC校验码系统的设计_第2页
2019CRC校验码系统的设计_第3页
2019CRC校验码系统的设计_第4页
2019CRC校验码系统的设计_第5页
资源描述:

《2019CRC校验码系统的设计》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、CRC校验码系统的设计  南华大学电气工程学院  《通信原理课程设计》  设计题目:      专  业:  电子信息工程  学生姓名:  学号:  起迄日期:20XX年5月24日—20XX年6月6日指导教师:  系主任:    《通信原理课程设计》任务书  1.课程设计的内容和要求:通信原理课程设计的目的:巩固并拓展现代通信原理的基本概念、基本理论、分析方法和实现方法。结合EDA技术、数字通信技术、电子技术、仿真技术,学习现代通信系统的建模和设计方法,使学生理论结合实际,提升创新思维和设计能力,增强软件编程仿真能力和解决实际问题的动手能力。技术要求1)用C或者Matla

2、b语言编程设计CRC校验码的编译码;2)用VHDL语言设计建模CRC校验码的编译码模块。工作要求:①查阅  1引言  循环码是在严密的代数学理论基础上建立起来的,是线性分组码的一种。这种码的编码和解码设备都不太复杂,而且纠错的能力较强。顾名思义,循环码除具有线性码的一般性质之外,还具有循环性,即任一码组循环一位以后,仍为该码中的一个码组。  在代数编码理论中,为了便于计算,经常将循环码表示成码多项式的形式,设码组为a=(an-1an-2...a1a0),则码多项式定义如下:  T(X)=an-1x+an-2x+...+a1x+a0在循环码除全“0”码组外,再没有连续k位均

3、为“0”的码组,即连“0”的长度最多只有(k-1)位。否则,在经过若干次循环移位后将得到一个k位信息位全为“0”,但监督位不全为“0”的一个码组。因此,g(x)必须是一个常数项不为“0”的(n-k)次多项式,而且这个g(x)还是这种码中次数为(n-k)的唯一一个多项式。称这唯一的(n-k)次多项式g(x)为码的生成多项式。一旦确定了g(x),则整个(n,k)循环码就被确定了。此,可以写出循环码的生成矩阵G.  通常这时得到的循环码的生成矩阵不是典型矩阵,可通过线性变换转为典型矩阵,则循环码组可写成:  T(X)=[an-1an-2...an-k]G(X)  G(X)=[a

4、n-1x+an-2x+...+an-(k-1)x+a].g(x)  所有的码组多项式T(X)都可被g(x)整除,而且任意一个次数不大于的多项式乘g(x)都是码多项式,该条性质用于编码,还可用于验证接收码组是否出错。  于任一循环码多项式T(X)都是g(x)的倍式,故可写成T(X)=h(x).g(x),而g(x)本身也是一个码组,即有T'(X)=g(x)。于T'(X)是  一个次多项式,故xkT'(x)是一个n次多项式,在模xn+1运算下,也是该编码中的一个许用码组。可以写成xT'(x)=Q(x)+T(x),又于等式左端分子和分母都是n次多项式,故Q(x)=1。因此,上式可

5、化成xkT'(x)=  1  (xn+1)+T(x)。最后,可得到xn+1=g(x)[xk+h(x)]。此说明,g(x)应该是xn+1的一个因子。  在本次计中,我使用的系统开发平台为QuartusⅡ,在QuartusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。  在本次设计中,采用的硬件描述语言是VHDL[3]。VHDL语言是一种用于电路设计的高级语言。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十

6、分类似于一般的计算机高级语言。  课程设计目的和要求  提高我们的分析能力及运用QuartusⅡ,懂得自己动手和验证,如系统结构模拟、电路特性分析,灵活的运用VHDL语言。  本课程设计中将一组循环码输入,并且能编码,再纠错,再到解码输出的一个过程。  作为电子专业的学生,通过这次QuartusⅡ方面的课程设计,可以提高我们对QuartusⅡ领域及通信电路设计领域的认识,有利于培养我们在通信电路QuartusⅡ方面的设计能力。一人一题特别有利于锻炼我们独立分析问题和解决问题的能力。设计过程的复杂加老师的严格要求有益于培养我们严谨的工作作风。  2  2VHDL  VHDL

7、语言介绍  VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。  VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体分成外部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。