EDA-简易出租车计价器设计.doc

EDA-简易出租车计价器设计.doc

ID:25159843

大小:960.01 KB

页数:11页

时间:2018-11-18

EDA-简易出租车计价器设计.doc_第1页
EDA-简易出租车计价器设计.doc_第2页
EDA-简易出租车计价器设计.doc_第3页
EDA-简易出租车计价器设计.doc_第4页
EDA-简易出租车计价器设计.doc_第5页
资源描述:

《EDA-简易出租车计价器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、HBQY#20080230403课程设计EDA课程设计[RTX于2012/2/22]简易出租车计价器设计要求:l计价器按1.2元/公里计费,超过10公里后,则按1.8元/公里收费。l起步价6元(3公里),超过3公里后,计价累加0.6元,10公里内以后每过0.5公里累加0.6元。l过10公里后,计价累加0.9元,以后每过0.5公里累加0.9元。l公里数4位数字显示,精确到0.1公里;出租车计价4位数字显示,精确到0.1元。l即:一.设计方案第-11-页共11页HBQY#20080230403课程设计二.硬件部分:(1)硬件环境:东南大学SE-5型EDA试验

2、箱,其中核心元件是Altera公司的EPF10K10LC84-4):三.软件部分:第-11-页共11页HBQY#20080230403课程设计(1)软件环境:Altera公司的MAX+plusII(2)程序源代码:1.顶层设计:2.各元件源代码:元件kms2money--kms2money.vhdlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;--0.1公里表示为1,0.1元表示为1entitykms2moneyisport(rst,clk,enf,dispclk

3、:instd_logic;data4:outstd_logic_vector(3downto0);ctl8:outstd_logic_vector(7downto0));--data4:按dispclk的上升沿周期性的依次输出kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0--ctl8:分别为控制动态扫描显示的8个LED的使能端,高电平使能endkms2money;architectureart_kms2moneyofkms2moneyissignalkms3,kms2,kms1,kms0:std_logic_vector(

4、3downto0);--公里百位,十位,个位,十分位signalmon3,mon2,mon1,mon0:std_logic_vector(3downto0);--金额百位,十位,个位,十分位begin------------------------------------------------------------------------------------------------------dynctl:process(dispclk,kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0)--动态显示--dispclk

5、上升沿依次来临时data4依次为kms3,kms2,kms1,kms0,mon3,mon2,mon1,mon0,周而复始variabletimes:std_logic_vector(2downto0);--3位可表征8种状态,非'0'且非'1'除外beginifdispclk'eventanddispclk='1'thentimes:=times+1;casetimesis第-11-页共11页HBQY#20080230403课程设计when"000"=>data4<=kms3;ctl8<="10000000";--使能左起第1位LEDwhen"001"=

6、>data4<=kms2;ctl8<="01000000";--使能左起第2位LEDwhen"010"=>data4<=kms1;ctl8<="00100000";--使能左起第3位LEDwhen"011"=>data4<=kms0;ctl8<="00010000";--使能左起第4位LEDwhen"100"=>data4<=mon3;ctl8<="00001000";--使能左起第5位LEDwhen"101"=>data4<=mon2;ctl8<="00000100";--使能左起第6位LEDwhen"110"=>data4<=mon1;ctl8<="

7、00000010";--使能左起第7位LEDwhen"111"=>data4<=mon0;ctl8<="00000001";--使能左起第8位LEDwhenothers=>data4<="ZZZZ";ctl8<="00000000";--无效为高阻都不选中endcase;endif;endprocess;------------------------------------------------------------------------------------------------------kmsdisp:process(rst,clk)-

8、-clk每来一次上升沿认为公里数加0.1公里,即加1beginifrst='1'

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。