基于vhdl简易出租车计价器设计说明书

基于vhdl简易出租车计价器设计说明书

ID:29472353

大小:512.04 KB

页数:41页

时间:2018-12-20

基于vhdl简易出租车计价器设计说明书_第1页
基于vhdl简易出租车计价器设计说明书_第2页
基于vhdl简易出租车计价器设计说明书_第3页
基于vhdl简易出租车计价器设计说明书_第4页
基于vhdl简易出租车计价器设计说明书_第5页
资源描述:

《基于vhdl简易出租车计价器设计说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、目录一概述1二意义2三总体设计33.1设计要求33.2设计思路33.3设计方法33.4设计流程图4四系统仿真结果及分析6仿真波形图分析6五总结及体会9六参考文献10附录(程序源代码)1116一概述随着我国经济社会的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是使出租车市场规范化、标准化的重要设备。一种功能完备,简单易用,计量准确的出租车计价器是加强出租车行业管理,提高服务质量的必需品。本设计采用VHDL硬件描

2、述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过QuartusⅡ软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求。该设计虽然功能简单,智能化水平比较低,但仍具有一定的实用性。该设计是在VHDL的基础上对出租车计价器进行设计来实现其基本功能的,与以往的基于单片机的数模混合电路相比,FPGA具有稳定性好,抗干扰能力强等优点,且非常适合做为出租车计价器的控制核心,所以选择用VHDL来对计价器进行设计来实现其功能。本设计是对出租车计价器的四个模块进行

3、分析的,综述如下:分频模块:分频模块是其它模块的基础,输入时钟选为64Hz,分频后的时钟频率为1Hz,为后续模块提供基本时钟。等待时间模块:该模块针对乘客确认下车前的等待而言,比如堵车、中途下车的情况,通过1Hz脉冲计数,每一分钟计时加一,最大计时时间显示为59分钟。路程模块:该模块是对车辆行驶路程进行计数,以1Hz时钟为基础,检测行程脉冲,路程模块中有内部变量来判断路程,当大于3公里、20公里时,分别有相应的使能信号对此作出记录,最大路程显示为99公里。计费模块:该模块是基于等待时间模块和路程模块对费用进

4、行控制的。通过内部使能信号分别计算3公里以内、3-20公里以及20公里以后的费用。本设计是基于VHDL进行编程,然后在QuartusⅡ进行波形仿真,实现出租车计价器的基本功能。16二意义汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是具有一定意义的。随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。于是,出租车

5、行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。用更加精良的计价器来为乘客提供更加方便快捷的服务。多年来国内普遍使用的计价器只具备单一的脊梁功能。最早的计价器全部使用机械齿轮结构,只能简单的计程功能,可以说,早期的计价器就是个里程表。随着科学技的发展,产生了第二代计价器。它采用手摇计算机与机械结构相结合的方式实现了半机械半电子化。此时它在计程的同时还完成计价的工作。大规模集成电路的发展又产生了第三代计价器,也就是全电子化

6、的计价器。它的功能也在不断完善。出租车计价器是一种专用的计量仪器,它安装在出租车上,能够连续累加,并只是出行中任意时刻乘客应付费用。随着电子技术的发展以及对计价器的不断改进和完善,便产生了能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。16三总体设计3.1设计要求(1)起步价为8.00元,起步公里为3公里;(2)超过3公里,每公里按1元收费;(3)单程行驶里程超过20公里,每公里租价加收50%;(4)等候时间超过1分钟,每分钟按这公里的租价计算。要求显示里程、计费及等候时间。乘客上

7、车后,按下启动键开始计费。若非往返,按下单程键,计费显示三位整数、一位小数。3.2设计思路输入2个时钟信号,分别模拟时间和路程。对等待时间、路程计数,进而统计费用。系统结构框图如下:时钟基础时钟计数器1等待时间车速时钟计数器2里程计费分频显示图1系统结构框图上图中,计数器1对分频后的1Hz脉冲计数,计数60次,计数器加1,对应等待时间,计数器2对车速脉冲计数,其上升沿到来计数加1,对应里程。3.3设计方法本设计将使用硬件描述语言VHDL设计出租车计价器系统。VHDL是高速集成电路硬件描述语言的简称。VHDL

8、是采用可编程逻辑器件通过对器件内部的设计来实现系统功能的,是一种基于芯片的设计方法。程序调试无误后,在实验箱上进行硬件测试,证明该出租车计价系统具有使用计价器的基本功能。163.4设计流程图开始初始化单程?里程>3里程>201.5元/公里1元/公里等待?等待?1.5元/分钟1元/分钟计费NYYYNYYNN图3系统设计流程图分频里程计数时间计数时间计数N乘客上车后,按下启动按钮,计价器开始工作,首先进入初始化状态,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。