fsk信号解调系统论文学士学位论文.doc

fsk信号解调系统论文学士学位论文.doc

ID:14357883

大小:5.22 MB

页数:45页

时间:2018-07-28

上传者:zhaojunhui
fsk信号解调系统论文学士学位论文.doc_第1页
fsk信号解调系统论文学士学位论文.doc_第2页
fsk信号解调系统论文学士学位论文.doc_第3页
fsk信号解调系统论文学士学位论文.doc_第4页
fsk信号解调系统论文学士学位论文.doc_第5页
资源描述:

《fsk信号解调系统论文学士学位论文.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

第1章绪论1.1序言锁相环路(PLL)是一个能够跟踪输入信号相位的闭环自动控制系统,在无线电技术的各个领域得到了很广泛的应用。最初锁相环路应用与电视接收机和垂直扫描的同步,从此,锁相环路得到了应用,刚开始由于技术上的复杂性以及较高的成本,锁相技术主要应用在航天方面。现在,普遍应用锁相技术的主要有调制解调,频率合成,电视机彩色副载波提取,FM立体声解码等等,它之所以能够得到如此广泛的应用,是由其独特的优良性能所决定的。它具有载波跟踪特性,作为一个窄带跟踪滤波器,可提取淹没在躁声中的信号;用高稳定的参考振荡器锁定,可提供一系列频率高稳定的频率源;可进行高精度的相位与频率测量等等。它具有调制跟踪特性,可制成高性能的调制器和解调器。本文设计的FSK解调系统就是选用锁相技术,基于现在锁相技术的应用的广泛,用此技术很贴近社会实际[2]。1.2工程背景目前的电话,闭路电视,电缆电视,近距离计算机网的数据交换和处理等都要求用基带传输系统,而基带系统都是通过电缆信道,其数字信号传送的距离不太远。要实现远距离传输必须通过调制把基带信号的频谱变换到高频处之后,通过无线电信道或光纤信道传输,如微波中继通信,多路载波电话,数字通信,卫星通信等都是这一传输系统。调制的信号发射传输之后,在接收的时候就要对已经调制过的信号进行解调,还原到低频率,信号调制解调的方法有许多,本文主要研究的是FSK信号的解调系统。解调技术在现实社会中应用广泛。研究的价值很高。第45页共45页 1.3主要技术指标了解FSK的调制/解调原理,熟悉锁相技术,FSK 解调输入信号中F1=100KHZ,F2=50KHZ,正确设计FSK解调电路。要求与FSK调制电路成系统,有实物形式。第45页共45页 第2章解调原理2.1FSK信号二进制频率键控时用数字基带信号的两种状态去控制载波的频率。状态为1,载波频率为F1;状态为0,载波的频率为F2。信号波形如图2-1所示:图2-12.2FSK解调原理在传统的频移键控信号的解调理论中,可分为两大类:一类是相干方式解调;另一类是非相干方式解调.。图2-2非相干解调图第45页共45页 图2-3相干解调图相干数字解调接收质量最佳,但在其解调过程中恢复出具有精确频率和相位的相干信号较为困难,所需设备也较复杂.而采用非相干数字解调方法,可根据数字频移键控信号的特点,在接收端不需要相干信号,因而被广泛使用.,所以下面主要介绍几种非相干解调的方法。常用的非相干解调方法有鉴频法,差分检波法,动态滤波法和零交点法等1鉴频法鉴频法是将频移键控信号的频率变化转化为幅度变化,然后通过幅度检波而得到基带信号.频移键控信号为u(t),X0为其角频率,对u(t)求微分可得:(1-1)由式(1-1)看出,其包络是时间的函数,所以整流后得到的包络含有基带信息,它可复原成原信号.该法适用于模拟调频信号的解调,对频移键控信号,解调误码率较高.2差分检波法差分检波法的原理是将输入频移键控信号分两路同时加到平衡调制器上,其中一路经过S延迟(S=1/4f0),在平衡调制器上这两个信号做乘积处理,经低通滤波器除去高频成分,可取出基带信号.差分检波法对信道延迟失真具有良好的补偿作用,但它受到S=1/4f0的限制及时延网络精确度的影响,不易精确实现.解调框图如图2-4第45页共45页 图2-4设输入为vcmcos(ω0+Δω)t的FSK信号,它与延时τ后的信号vcmcos(ω0+Δω)(t-τ),在相乘器中相乘,即经低通滤波器滤除倍频项,得输出为取cosω0τ=0,得ω0τ=±π/2                                若Δωτ<<1,则有                                        由上可知,当满足cosω0τ=0且角频偏较小时,差分检波器输出电压V与角频偏ω呈线性关系,实现了鉴频。3动态滤波法第45页共45页 利用动态滤波法解调数字频移键控信号的工作原理是输入信号分两路分别通过一对并联滤波器,这对滤波器分别谐振于f1和f2,f2=f1+k/Ts(k=1,2,,).那么当码元频率为f1(或f2)时,在谐振于该频率的动态滤波器输出的波形将是一个线性增长的频率为f1(或f2)的振荡,而在另一个动态滤波器输出端包络成sinZ/Z形,对这两个输出进行包络检波,然后在每个码元的时间间隔内进行取样判决,可得到所需的检波波形.在实现动态滤波时,使本地动态滤波器的谐振频率与载频相同,从而对频率稳定度要求很高,这样才能实现高Q值的动态滤波.4零交点法零交点是信号的一个起伏过程越过零电平轴的交点,单位时间内信号经过零交点的次数可表征信号频率的高低,数字频移键控信号的过零点数随载频而异,在一定时间内检出的过零点数可以得到频率的差异,这就是零交点法的基本思想。其解调原理图及波形如图2-5图2-52.3FSK软件解调FSK的解调方法除了硬件电路之外,也可以选用软件解调,下面就简单的介绍一种软件实现的方法。算法描述FSK的调制就是根据二进制信号产生对应的正弦波,而正弦波发生器通常有两种做法:1.查表法,即查找正弦表来产生每个点的值,该算法的速度慢且占用比较多的存贮空间。2.迭代法,即通过预设的初值通过迭代预算,计算出后来点的值.该算法对存贮空间的要求比较低,但对CPU资源的占用比较大。用这一算法的软件设计解调系统,整个FSK的解调部分可大体分为四个部分:输入同步、带通滤波、增益控制和解调判决。第45页共45页 这是一种比较新的解调算法,由于其比较新,许多地方还不是很理解,只能大体的对此算法做一些了解,了解了现在社会上的比较先进的关于信号解调的一些新的东西,对信号的解调系统能有比较全面的理解,实际的电路选择不考虑此种方法[3]。2.4元器件简单解调电路解调电路如图2-6,由LC调谐电路,检波电路及滤波电路[5]3部分组成。图2-6LC调谐放大电路的功能是将2种频率不同的载波转换成两种幅值不同的调制信号"基本原理是把载频f1或f2设置成LC调谐放大器的谐振频率,则调制信号通过调谐电路时,其中的一个频率发生谐振,幅值最大,另一频率偏离谐振频率,幅值较小选频电路.FSK信号经调谐电路后变为ASK信号,然后采用ASK的包络检波电路进行检波,其作用是要取出调幅波的包络线,以实现解调的目的"通常使用二极管检波电路进行调幅波的解调"LC调谐电路的谐振频率为:谐振时,回路等效阻抗为纯电阻性质,其值为:式中:),称为回路品质因数,是用来评价回路损耗大小的指标"谐振曲线的形状与回路的Q值有密切的关系"L值越大或C值越小时,Q值越大,谐振曲线越尖锐,相角变化越快"第45页共45页 图2-7谐振曲线与品质因数关系为了不失真地从调谐电路输出的调幅波中检出所需频率信号,必须妥善地选择时间常数RC"设计将两路不同频率载波中的一路频率设置成谐振频率"这样,具有两种不同频率的调频波就可转换为具有两种幅值的调幅波,这样,采用包络检波电路便可进行调幅波的解调"解调电路中二极管是用来检波的,所以应该考虑到其工作频率是否可以承受所要检波的载波频率"由于硅管的最高工作频率为3kHz左右,不适于检波,多用在整流电路中,所以设计选用锗二极管2AP17进行检波,主要用在150MHz以下的电子设备中进行检波和小电流整流"此电路中要确定的参数有R,L和C"参数设定的具体过程如下检波电路的负载R3越大,输入的调制波信号的振幅A越大,检波效率就越高"但如果将R3取得过大,接近于二极管的反向阻抗rb,则正向电流和反向电流的差变小,整流器的效率会降低"所以就要在满足r〉R3的情况下,负载阻尼R3越大越好"其中,rb为二极管的反向阻尼,其值一般为几百k欧姆,最后确定R3值为10k欧姆"为了实现良好的保持,R3C3的时间常数必须远远大于载波的一个周期"而且为了能够无失真地跟随解调信号的变化,R3C3又必须远远小于调制信号的最高频率周期Tmax,故须满足:式中:Tmax为调制信号的最高频率周期;Tc为发送的载波的频率周期"1/Tmax=fmax=1kHz,1/Tc=fc=250kHz,R3=10k欧姆第45页共45页 则应满足40pF〈C3〈10000pF,最后确定为C3=2000pF"为了彻底地滤去载波,设截止频率为100kHz,信号源内阻为100k8,则R4=100k8,C4=1/wfzf式中WF,ZF分别为频率的归一化因子和阻抗的归一化因子"其值分别为:WF=314kHz,ZF=100k8,C4=33pF由于此电路比较的简单,精度系数不高,所以没有选择。2.5锁相技术解调原理2.5.1锁相环的工作原理锁相环它由三个基本的部件组成:其组成框图如图2-8,鉴相器(PD)、环路滤波器(LF)和压控振荡器(VCO)。鉴相器是个相位比较装置。它把输入信号Vi(t)和压控振荡器的输出信号Vo(t)的相位进行比较,产生对应于两个信号相位差的误差电压Vd(t)。图2-8环路滤波器的作用是滤除Vd(t)中的高频成分和噪声,以保证环路所要求的性能,增加系统的稳定性压控振荡器受控制电压Vd(t)的控制,使压控振荡器的频率向输入信号的频率靠拢,直至消除频差而锁定。锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生误差控制电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率与压控振荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果鉴相器输出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若压控振荡器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到稳定后,输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,这时环路就进入“锁定”状态,这就是锁相环工作的大致过程。 第45页共45页 以上的分析是对频率和相位不变的输入信号而言的。如果输入信号的频率和相位在不断地变化,则有可能通过环路的作用,使压控的频率入频率的变化。锁相环具有良好的跟踪性能。输入信号时,让环路通带足够宽,使信号的调制频谱落在带宽之内,这时压控振荡器的频率跟踪输入调制的变化。  对于锁相环的详细分析可参阅有关锁相技术的书籍。在此仅说明锁相环鉴频原理。可以简单地认为压控振荡器频率与输入信号频率之间的跟踪误差可以忽略。因此任何瞬时,压控振荡器的频率ωv(t)与FSk波的瞬时频率ωFSK(t)相等。FSk波的瞬时角频率可表示为假设VCO具有线性控制特性,其斜率Kv(压控灵敏度)为(弧度/秒·伏),而VCO在Vd(t)=0时的振荡频率为ωo’,则当有控制电压时,ωv(t)≈ωFSK(t),可得其中ωo为FM波的载频,ωo’为压控振荡器的固有振荡频率,两者皆为常数。因此上式第一项为直流项,可用隔直元件消除,或者开始时已经把压控振荡器的频率调整为ωo=ωo’。锁相环输出,除了常系数Kf/Kv之外,近似等于原调制波形f(t),因而达到频率解调的目的。  同理,锁相环也可用于解调PM信号,此时只需在输出端接入一个积分器就可以了。  通过合理选择环路参数(主要是环路滤波器的参数)可以在满足解调要求的条件下使闭环带宽尽可能窄,以便抑制噪声。因此锁相环具有良好的噪声性能。当接收信号电平微弱,噪声成为主要考虑因素时,采用PLL解调器可以改善解调性能,它可用于各种移动FM电台、微波接力系统、卫星通信系统以及电视、遥测等系统中,它与普通鉴频器相比,门限改善可达6dB,所以PLL解调器又称为门限扩张解调器或低门限解调器。锁相技术是现在非常热门的技术,通过对锁相原理的了解,确定要采用锁相技术来实现信号的解调电路的设计。2.5.2常用锁相电路1.用锁相环解调FSK信号有两种不同的方法,第一种时用一个PLL使其始终对输入信号的频率锁定或跟踪。第二种是用一个PLL对FSK 信号中的一个频率锁定,而对另外频率则失锁,这样再解调FSK信号时,锁定指示器的指示即为解调输出,第二种方法较为简单。2锁相环4046应用的介绍第45页共45页 虽然本次设计中没用到4046但是它是一个较典型的锁相环,对其了解对于设计中用其它锁相电路有很好的指导作用。现在常使用集成电路的锁相环,CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。图2-9是CD4046的引脚排列,采用16脚双列直插式,各引脚功能如下:图2-91脚相位输出端,环路人锁时为高电平,环路失锁时为低电2脚相位比较器Ⅰ的输出端。3脚比较信号输入端。4脚压控振荡器输出端。5脚禁止端,高电平时禁止,低电平时允许压控振荡器工作。6、7脚外接振荡电容。8、16脚电源的负端和正端。9脚压控振荡器的控制端。10脚解调输出端,用于FM解调。11、12脚外接振荡电阻。13脚相位比较器Ⅱ的输出端。14脚信号输入端。15脚内部独立的齐纳稳压管负极。第45页共45页 图2-10图2-10是CD4046内部电原理框图,主要由相位比较Ⅰ、Ⅱ、压控振荡器(VCO)、线性放大器、源跟随器、整形电路等部分构成。比较器Ⅰ采用异或门结构,当两个输人端信号Ui、Uo的电平状态相异时(即一个高电平,一个为低电平),输出端信号UΨ为高电平;反之,Ui、Uo电平状态相同时(即两个均为高,或均为低电平),UΨ输出为低电平。当Ui、Uo的相位差Δφ在0°-180°范围内变化时,UΨ的脉冲宽度m亦随之改变,即占空比亦在改变。从比较器Ⅰ的输入和输出信号的波形(如图4所示)可知,其输出信号的频率等于输入信号频率的两倍,并且与两个输入信号之间的中心频率保持90°相移。从图中还可知,fout不一定是对称波形。对相位比较器Ⅰ,它要求Ui、Uo的占空比均为50%(即方波),这样才能使锁定范围为最大。第45页共45页 相位比较器Ⅱ是一个由信号的上升沿控制的数字存储网络。它对输入信号占空比的要求不高,允许输入非对称波形,它具有很宽的捕捉频率范围,而且不会锁定在输入信号的谐波。它提供数字误差信号和锁定信号(相位脉冲)两种输出,当达到锁定时,在相位比较器Ⅱ的两个输人信号之间保持0°相移。对相位比较器Ⅱ而言,当14脚的输入信号比3脚的比较信号频率低时,输出为逻辑“0”;反之则输出逻辑“1”。如果两信号的频率相同而相位不同,当输人信号的相位滞后于比较信号时,相位比较器Ⅱ输出的为正脉冲,当相位超前时则输出为负脉冲。在这两种情况下,从1脚都有与上述正、负脉冲宽度相同的负脉冲产生。从相位比较器Ⅱ输出的正、负脉冲的宽度均等于两个输入脉冲上升沿之间的相位差。而当两个输入脉冲的频率和相位均相同时,相位比较器Ⅱ的输出为高阻态,则1脚输出高电平。波形如图2-11所示由此可见,从1脚输出信号是负脉冲还是固定高电平就可以判断两个输入信号的情况了。图2-11CD4046锁相环采用的是RC型压控振荡器,必须外接电容C1和电阻R1作为充放电元件。当PLL对跟踪的输入信号的频率宽度有要求时还需要外接电阻R2。由于VCO是一个电流控制振荡器,对定时电容C1的充电电流与从9脚输入的控制电压成正比,使VCO的振荡频率亦正比于该控制电压。当VCO控制电压为0时,其输出频率最低;当输入控制电压等于电源电压VDD时,输出频率则线性地增大到最高输出频率。VCO振荡频率的范围由R1、R2和C1决定。由于它的充电和放电都由同一个电容C1完成,故它的输出波形是对称方波。一般规定CD4046的最高频率为1。2MHz(VDD=15V),若VDD<15V,则fmax要降低一些。第45页共45页 CD4046内部还有线性放大器和整形电路,可将14脚输入的100mV左右的微弱输入信号变成方波或脉冲信号送至两相位比较器。源跟踪器是增益为1的放大器,VCO的输出电压经源跟踪器至10脚作FM解调用。齐纳二极管可单独使用,其稳压值为5V,若与TTL电路匹配时,可用作辅助电源综上所述,CD4046工作原理如下:输入信号Ui从14脚输入后,经放大器A1进行放大、整形后加到相位比较器Ⅰ、Ⅱ的输入端,图3开关K拨至2脚,则比较器Ⅰ将从3脚输入的比较信号Uo与输入信号Ui作相位比较,从相位比较器输出的误差电压UΨ则反映出两者的相位差。UΨ经R3、R4及C2滤波后得到一控制电压Ud加至压控振荡器VCO的输入端9脚,调整VCO的振荡频率f2,使f2迅速逼近信号频率f1。VCO的输出又经除法器再进入相位比较器Ⅰ,继续与Ui进行相位比较,最后使得f2=f1,两者的相位差为一定值,实现了相位锁定。若开关K拨至13脚,则相位比较器Ⅱ工作,过程与上述相同,不再赘述。下面介绍CD4046典型应用电路CD4046锁相环用于调频信号的解调电路。如图2-12所示。如果由载频为10kHz组成的调频信号,用400Hz音频信号调制,假如调频信号的总振幅小于400mV时,用CD4046时则应经放大器放大后用交流耦合到锁相环的14脚输入端环路的相位比较器采用比较器Ⅰ,因为需要锁相环系统中的中心频率f0等于调频信号的载频,这样会引起压控振荡器输出与输入信号输入间产生不同的相位差,从而在压控振荡器输入端产生与输入信号频率变化相应的电压变化,这个电压变化经源跟随器隔离后在压控振荡器的解调输出端10脚输出解调信号。当VDD为10V,R1为10kΩ,C1为100pF时,锁相环路的捕捉范围为±0.4kHz。解调器输出幅度取决于源跟随器外接电阻R3值的大小。第45页共45页 图2-123下面为NE560和NE565应用的FSK解调电路,图2-13为NE560组成的FSK解调器,从9端得到解调输出,再经过放大后加到电压比较器,由比较器输出整形后的方波。图2-14为NE565组成的FSK解调电路。电路的参数适用于F1=1070HZ,F2=1270HZ的FSK信号。7端的解调输出经三级低通滤波后加到电压比较器形成方波。第45页共45页 图2-13图2-14第45页共45页 第3章硬件电路设计3.1设计思路3.1.1信号简介:在进行信号解调系统的设计之前,要对调制信号的特点,调制的方法有个大体的了解,才能在设计解调系统的过程中做到事半功倍的效果。FSK的调制方式:    1、可以用模拟信号调频电路来实现。    2、也可以用如图3-1所示的调制电路实现图3-1其功率谱图如图3-2图3-2这次设计的调制系统的F1=100KHZ,F2=50KHZ,针对调制信号的这些特点和调制信号的技术参数,设计自己的解调电路。第45页共45页 3.2锁相环NE564实现电路3.2.1NE564的介绍总体介绍:高频模拟锁相环NE564的最高频率可达到50MHZ,采用+5V单电源供电,特别适用与高速数字通信中FM调频信号及FSK移频键控信号的调制,解调,无需外接复杂的滤波器。NE564采用双极性工艺,其内部组成框图如3-4所示图3-3图3-4其中,A1为限幅器,可一直FM调频信号的寄生调频;相位比较器(鉴相器)PC的内部含有限幅放大器,以提高对AM调幅信号的抗干扰能力;外接电容C3,C4组成低通滤波器,用来滤除比较器输出的直流误差电压的纹波;改变因脚2的输入电流可改变环路增益;压控振荡器VCO 第45页共45页 的内部接有固定电阻R(R=100),只需要外接一个定时电容Ct就可以产生震荡,VCO有两个电压输出端,其中,VCOo1输出TTL电平;VCOo2输出ECL电平。后置鉴相器由单位增益跨导放大器A3和施密特触发器ST组成。其中,A3提供解调FSK信号时的补偿支流电平及用做线性解调FM信号时的后置鉴相滤波器[5];ST的回差电压可通过脚15外接直流电压进行调整,以消除信号TTLo的相位抖动。3-5内部电原理图详细介绍:1图3-4中,A1(LIMITER)为限幅放大器,它主要由原理图中的Q1-Q5及Q8,Q7组成。Q1-Q5组成PNP,PNP互补的共集-共射组合差分放大器,由于Q2,Q3负载并联有肖特基二极管D1,D2故其双端输出电压被限幅在2VD=0.3-0.4V左右.Q7,Q8为射极输出差放,以做缓冲,其输出信号送鉴别器。2鉴相PD采用普通双差分模拟相乘器,由压控振荡器反馈过来的信号从外部由3端输入。另外由2端去改变双差分电路的偏置电流,控制鉴相器增益,从而实现环路增益控制。3压控振荡器VCO第45页共45页 NE564的压控振荡器是一改进型的射极定时多谐振荡器,主电路由Q21,Q22,Q23,Q24组成,其中Q22,Q23两射极通过12,13端外接定时电容Ct,Q21,Q24两射极分别经电阻R22,R23接电源Q27,Q25.Q26也为电流源。Q17,Q18为控制信号输入缓冲级,接通电源,Q21,Q22,Q23,Q24双双轮流导通和截止,电容Ct周期地充电与放电,于是Q22,Q23集成极输出极性相反的方形脉冲。根据特定设计,固有振荡频率为F0=1/16R20Ct4输出放大器 A2与直流恢复电路A2与直流恢复电路是专为解调FM信号与FSK信号而设计的,输出放大器A2由Q37,Q38,Q39组成,显然这是一恒流源差分放大电路,来自鉴相器的误差电压由4,5端输入,经缓冲后,双端送入A2放大。直流恢复电路由Q42,Q43,Q44等组成,电流源Q40作Q43的有源负载。若环路输入为FSK信号-即频率在F1与f2之间周期性跳变的信号,则鉴相器的输出电压A2放大后分两路,一路直接送施密特触发器的输入,另一路送直流恢复电路Q42基极,由于Q43集电极通过14端外接一滤波电容,故直流恢复电路的输出电压就是一个平均值——直流。这个直流电压Vref再送到施密特触发器另一端就作为基准电压。5施密特触发器施密特触发器是专为解调FSK信号而设计的,其作用就是将模拟信号转换成TTL数字信号,直流恢复输出的电流电压基准Vref(经R26到Q49基极)与被A2放大了的误差电压Vdm分别送入Q49和Q50的基极,Vdm与Vref进行比较,当Vdm大于Vref时,则Q50导通,Q49截止,从而迫使Q54截止,Q55导通Q49,于是16端输出低电平。当Vdm小于Vref时Q49导通,Q50截止从而迫使Q54导通,Q55截止,16端输出高电平,通过15端改变Q52的电流大小,可改变触发器上下翻转电平,上限电平与下限电平之差也称为滞后电压Vh。调节Vh可消除因载波泄漏而造成的误触发而出现的FSK解调输出,特别是在数据传输速率比较高的场合。并且此时的14端滤波电容不能够太大。3.2.2NE564组成的FSK解调电路电路图如图3-6示。已知输入信号的频率Vi的频率F1=100k正负2k,调制方波的频率F=50k.此时电路内部的工作原理与FM解调基本相同,解调后的方波从16脚输出,可提供TTL电平,用电阻R6和电位器RP2调整施密特触发器的回差电压,可改善输出方波的波形。R7是16脚接的上拉电阻,其阻值增加,也有利于改善输出波形。第45页共45页 图3-6该电路设计的关键是:必须使压控振荡器的频率为100K正负2K,NE564锁定,这时16脚输出才为高电平,超出此范围失锁,则16脚输出为低电平,因此,压控振荡器的固有频率Fv和捕捉带必须十分准确。实验中可通过观测4,5脚的输出波形(近似为两个相反的叠加有高频杂波的三角波),调整C3,C4的值,使波形更为清晰,电容C6的作用是,滤除内部单位增益跨导放大器A3输出的补偿直流电压中的交流成分,因此,对C6的耐压有一定要求,取耐压大于电源电压的电解电容,取C6=10μF/8V.3.2.3结果分析此电路设计开始没有考虑到输入信号的频率问题,此电路适用于高频率信号的解调,因为没能考虑到此,所以该电路再实际设计的调试中,不容易锁定,不好解调,调试比较的麻烦。3.3实现电路3.3.1输入信号由于本次设计的电路要和我同组的同学设计的FSK调制电路连板调试,所以要考虑到调制板的输出信号,即实际解调板的输入信号,根据输入信号的频率来设计解调板的参数。第45页共45页 输入信号的调制系统由ER-2206构成。ER-2206是单片集成函数发生器,它能产生高稳定度和高精度的正弦波,方波,三角波,谐波和矩形脉冲波,输出信号可受外加电压控制实现振幅调制或频率调制。工作频率可从0.01Hz~1MHz。   由ER-2206构成的单片CP-FSK调制器电路如图3-7所示图3-73.3.2XR2211介绍XR2211有以下特点:广泛的频率范围,0.01Hz-300KHZ宽的补给电压范围,4.5V-20VHCMOS/TTL/逻辑兼容性FSK解调解调锁定宽的电动范围,3Vrms的10mV可调整追踪范围,80%的+1%其引角图如图3-8所示,内部框图如图3-9所示,内部电原理图如图3-10所示。第45页共45页 图3-8引脚图图3-9内部框图第45页共45页 图3-10内部电原理图3.3.3XR2211解调电路图.设计的解调电路由XR-2211构成。其电路图3-11。图中R0和C0决定了内部VCO中心频率f0,R1和C1为环路滤波器[10],RF和CF构成单极点检测滤波器,RB为内部比较器的正反馈电阻第45页共45页 图3-113.3.4元器件参数设计CP-FSK解调电路的设计主要是确定ER-2211的外围元件。对于数据传输速率为fs=2400bit/s的解调器,其外围元件R0,C0,R1,C1,RF,CF以及RB由下列关系来确定。a)R0的选取:R0是ER-2211内部VCO的射极偏置电阻,一般阻值在10kΩ~100kΩ范围内比较适合,通常取R0≥20kΩ。考虑到实际中VCO的中心频率必须适当微调,采用27kΩ固定电阻与5.1kΩ电位器串接[8],构成R0。b)C0的计算以f0=81kHz,R0=29.5kΩ代入上式,计算得C0=394PF。c)R1的计算:  R1取值的大小,决定了ER-2211内的基本锁相环的带宽[9]。因此R1第45页共45页 可以用如下关系式计算:以R0=29.5kΩ,f0=81kHz,f1=108kHz,f2=54kHz代入上式得R1=47,kΩd)C1的确定:  C1的大小直接影响ER-2211内基本环路的阻尼系数ξ,一般取阻尼系数ξ≥0.5,则C1由如下关系式计算e)RB,RF和CF的确定:RB和RF分别为FSK比较器的正反馈电阻和输入电阻,通常取RB=510kΩ,RF=100kΩ,而CF的大小取决于数据传输速率fs。CF可用下式近似确定:式中数据传输速率fs=2400bit/s,则得CF=1200pF。  图3-5中的RL=5.1kΩ,是FSK比较器的上拉电阻。在+10V供电情况下,7脚输出EIA电平数据信号,可直接与RS-232接口相连。一般情况下,7脚输出的数据信号还要求通过接口芯片MC1488再与RS-232接口相接。因此电路可靠性,频率稳定度,解调相位抖动等性能指标,都优于用集成运放和锁相环组成的数传MODEM,而体积则大大缩小,因此可以作为机内电路的一部分直接安装在小型通信机内,这对要求具有数传功能的通讯电台[12]和移动通信机来说,是极为方便的。由于ER-2206/ER-2211的工作频率可以从0.01Hz~300kHz以上,因此数传频率还可以相应提高。本次设计此解调电路和调制的电路达成配套,对于调制的信号达到了很好的解调效果,但时也由于经验不足,和电路参数的一些问题,波形还是出现了一些时延,基本达到要求。第45页共45页 第4章调试与检测4.1单板调试4.1.1NE564板的调试1.断电调试:没接通电源之前,对照原理图检查焊接电路有无短路现象,有无虚焊的点,检查元气件有无破坏。没有的话就要通电调试。2.通电调试:接通上电源,在信号的输入端接一个信号发生器上过来的信号,输出接示波器调节输入信号的频率,看输入信号的频率在100K的时候锁相环能否锁定,如果能锁定的话,出来的信号是个高电平[13] ,则不在100K的时候出来的是低电平,在实际的中不能锁定,但是还是不能够锁定。于是检查电路,原因也有可能是因为C3,C4的值取的不太恰当,把信号都滤掉了,因为C3,C4是滤波电容,在电路中起滤波的作用,实际的信号的频率比较的低,有可能被滤掉了。于是改变C3,C4的值,调大调小,但还是不能够锁定,最后结论是NE564对于高频的信号比较容易锁定,而对于低频率的信号可能会因为电路上的一些问题,如导线的干扰什么的,不容易调节到锁定的状态。4.1.2问题解决电路不能锁定,分析原因可能是电容Ct的值取的不太合适,因为Ct的值决定了锁相环的中心频率,于是改变Ct的值,但是由于频率过于低Ct的值比较的大,对Ct的值不容易微调,因为实际中没有比较大的可变电容,只能取一个比较近的值。于是改变C3,C4的值,调大调小,但还是不能够锁定,最后结论是NE564对于高频的信号比较容易锁定,而对于低频率的信号可能会因为电路上的一些问题,如导线的干扰什么的,不容易调节到锁定的状态。第45页共45页 4.1.3XR2211板的调试1.断电调试:没接通电源之前,对照原理图检查焊接电路有无短路现象,有无虚焊的点,检查元气件有无破坏。没有的话就要通电调试2.通电调试接通电源,在信号的输入端接一个信号发生器上过来的信号,输出接示波器调节输入信号的频率,看输入信号的频率在100K的时候锁相环能否锁定,如果能锁定的话,出来的信号是个高电平,则不在100K的时候出来的是低电平,在实际的中不能锁定,实际中,信号能够锁定,有信号输出,原因是设计电路中所说的此电路适合相对频率较低信号的解调。4.2连板调试把XR2211板和调制的板子相接,输出端接示波器,调制板接2KHZ的方波信号,接通电源,调节电路上电位器,调节锁定频率,示波器接双通道,一通道接调制板的输入2KHZ的方波信号,二通道接解调板的输出信号,比较两信号,理论上两信号是同频率的方波,实验中的两个信号有一定的时延,是一种正常的现象,调节电位器还是不能够达到完全没有时延,于是就不在调节了,电路已经实现了解调的功能,完成了设计的目的。达到基本的要求。第45页共45页 第5章总结5.1结束语本次设计完成了,但是由于自己的经验不足,及设计中的一些错误,实际的成品还是存在着一些问题,存在时延问题,而且成品对于社会生活中的产品还有一些差距,不能完全应用到实际中。有改进的地方。通过对信号解调系统的设计,对于信号传输等等知识也有了更深刻的理解,以后有机会一定好好研究一些更好的,更实用的设计方法,使自己的设计更加的完美化,能应用到实际中。设计的过程有困难的时候,第一次采用的方案没有能全面的考虑问题,一开始设计就存在缺陷,因为第一种方案就不适合这次的频率,没能成功,但对于锁相环的应用也有了深的体会。找到问题的所在,及时的改变方案,有了第一板子的经验,第二个的板子的设计还是比较的顺利的,仔细的计算参数,力求参数的精确,板子焊出来基本没什么问题,很快就实现了解调的功能。同时也体会到了合作的重要性,和同组成员通力合作,许多问题再讨论中找到了很好的解决方法。第45页共45页 参考文献[1]樊昌信等编著通信原理(第五版)国防工业出版社(2001)[2]江苏技术师范学院通信原理实验平台江苏技术师范学院(2005)[3]R.F.格拉.W希茨著电子电路百科全书科学出版社(1997)[4]韩克主编电子技能与EDA技术暨南大学出版社(2005)[5]何希才等编著通用电子线路应用400例电子工业出版社(2005)[6]王建新等编著电子线路实践教程科学出版社(2003)[7]国计量出版社编通信电路中国计量出版社(2001)[8]张锡鹤等编著印制电路板电路设计实训教材科学出版社(2004)[9]谢自美等编著电子线路设计实验测试华中理工大学出版社(2000)[10]丁玉美《数字信号处理》西安科技大学出版社(2002)[11]张雄伟 《DSP集成开发与应用实例》 电子工业出版社 (2002)[12]郑君里 《信号与系统》 高等教育出版社 (2002)[13] 深振元 《通信系统原理》西安电子科技大学出版社(1993)第45页共45页 附录:1.元件清单NE564板:NE564芯片一个通用板两块电容C101.μF2个C20.01μF2个C3300PF2个C4300PF2个C510μF/8V2个C60—20PF2个C70.2μF2个C80.2μF2个Ct33pF_6000PF电阻R11KΩ2个R21KΩ2个R3510Ω2个R4510Ω2个R52KΩ2个R62KΩ2个R71.2KΩ2个RP110KΩ2个RP210KΩ2个XR2211板:XR2211芯片一个通用板一个电容:C0390PF2个C1100PFCF1200PF2个C0.01μF2个C0.22μF2个C0.1μF2个电阻R147KΩ2个RB510KΩ2个RP100KΩ2个R150Ω2个R27kΩ2个R10KΩ2个2CW182个第45页共45页 2设软件计思想用VHDL语言在计算机上仿真出FSK解调系统的工作,观察信号解调的过程,主要是编写程序。软件设计libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityFSK1isport(clk,start,inx:instd_logic;outy:outstd_logic);endentityFSK1;architecturebehavofFSK1iscomponentPL_FSKport(clk,start,x:instd_logic;y:outstd_logic);endcomponent;componentPL_FSK2port(clk,start,z:instd_logic;t:outstd_logic);endcomponent;signale:std_logic;beginu1:PL_FSKportmap(clk,start,inx,e);u2:PL_FSK2portmap(clk,start,e,outy);endarchitecturebehav;第45页共45页 libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityPL_FSKisport(clk:instd_logic;start:instd_logic;x:instd_logic;y:outstd_logic);endPL_FSK;architecturebehavofPL_FSKissignalq1:integerrange0to11;signalq2:integerrange0to3;signalf1,f2:std_logic;beginprocess(clk)beginifclk'eventandclk='1'thenifstart='0'thenq1<=0;elsifq1<=5thenf1<='1';q1<=q1+1;elsifq1=11thenf1<='0';q1<=0;elsef1<='0';q1<=q1+1;endif;endif;endprocess;process(clk)beginifclk'eventandclk='1'thenifstart='0'thenq2<=0;第45页共45页 elsifq2<=0thenf2<='1';q2<=q2+1;elsifq2=1thenf2<='0';q2<=0;elsef2<='0';q2<=q2+1;endif;endif;endprocess;process(clk,x)beginifclk'eventandclk='1'thenifx='0'theny<=f1;elsey<=f2;endif;endif;endprocess;endbehav;libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityPL_FSK2isport(clk:instd_logic;start:instd_logic;z:instd_logic;t:outstd_logic);endPL_FSK2;architecturebehavofPL_FSK2issignalq:integerrange0to11;signalxx:std_logic;第45页共45页 signalm:integerrange0to5;beginprocess(clk)beginifclk'eventandclk='1'thenxx<=z;ifstart='0'thenq<=0;elsifq=11thenq<=0;elseq<=q+1;endif;endif;endprocess;process(xx,q)beginifq=11thenm<=0;elsifq=10thenifm<=3thent<='0';elset<='1';endif;elsifxx'eventandxx='1'thenm<=m+1;endif;endprocess;endbehav;第45页共45页 3英文翻译ImplementationofSTFSKDetectionBasedonDecoupledMaximumLikelihoodDetectionGaoYuan-yuanShenYue-hongHuXian-bin(DepartmentofRadioCommunication/CE,PLAUST,Nanjing210007,China)AbstractThispaperpresentsadecoupledmaximumlikelihood(ML)detectionalgorithm,whichutilizestheorthogonalcharacteristicofsignal,decoupledthecombinedMLdetectionintoseparatedone,undertheassumptionthatCSIisknownatthereceiver.Utilizingappropriatechannelestimationalgorithm,thedecoupledalgorithmnotonlysharplyreducesthecomputationalcomplexitycomparedtothenoncoherentMLdetection,butalsoimprovestheperformance.Simulationresultsconfirmtheeffectivenessofthealgorithm.KeywordsSpace-TimeFrequencyShiftKeying(ST-FSK),Unitarydesign,DecoupledMaximumLikelihood(ML)detection,CoherentmaximumlikelihooddetectionPrefaceTheFSKmakesawayishighbecauseofitspowerutilization,besubjectedtothelimitletterwayinthepowerthusWintogetextensivelyapplied,ifthemilitarycorrespondsbylettertocorrespondbyletterandsatellite,culturalheritagehaveanotherantennaTheemptyhourcodesthetechniqueandFSKtomakeatechniquetocombinetogether,acquiringmanyantennastobringThecentgatherstoincreaseabenefit,inthebenefitdeclinetheletterwayunderconditiondidn'tneedletterwayinformation,canadoptnotConcernwithofbiggesthowever(ML)thedetectorf}l.Culturalheritage[2)putforwardtousematrixishandovertraingleResolve((QR)calculateway,BeDOIngnotlosethepremiseofthesystemfunctionunder,loweranoperationQuantity,simplifytocarryoutthecomplexityofthatMLdetector.ThistextputsforwardakindofseparationMLsignalexaminationmethod,beingbelievinganappearanceinformatonTheFknowofunderassumptionmakeuseoftosendoutsignalishandoversexcharacteristics,makemanyreceiveTheMLconsociationofthequantityexaminationtheproblemseparateforsomevectorsalonetheMLexaminationask.Inoperatingquantitynotonlyconsumedlylowinabovetwokindsofnotmutuallythousandexaminations,andgetGettheexaltationofthefunctionbigin3dBosAdoption'sseparatingthemaincharacteristicsoftheSTFSKof[with]theMLexaminationmethodBE:(1)adoptUsetherealamountfullspeedrateishandoveradesign,betosendouttheantenna第45页共45页 Acquirethefullmarksgatherstoincreaseabenefit;(2)ST-FSKwithishandoverFSKtomaketohaveahomologyespecIallyOrder,thenwhenentertomakeanumbertotendinendless,canapproachthemountainagricultureextremelimit,butwithsacrificeThebandwidthusefulnessforprice;(3)thewoodtextputforwardoftheseparationMLexaminationmethodandtextDedicate[1,2]inofconcernwiththeexaminationmethodtocomparenot,oneisafunctiontoisbigin3dBofgoodPlace,canmaketotranslatethecodecomplicationsmoreoverfromwithsendoutantennatheindexnumberrelationtoreduceforPresent、Inordertoconcerningwithanexaminationtoneedtoknowtheletterwayanappearanceinformation,theabove-mentionedoperationmeasureofletupDidn'tconsiderletterwaytoestimatevalueoperationtomeasure,considerMtosendouttheantennaNtoreceiveadayLineoftheMIMOflatnessdeclinetheletterway,letterwaythevarietyisoppositetocompareslowly,letterwaytheestimateofadoptWhileusingaccordingtothecalculatewayoftrainthesequence,complicationsandMNthatbelieveawaytoestimatetobeworthamachinebecomejustRatiostillisthelinesexualbehaviornamely:ifsynthesizetoconsiderthelettercomplicationsthatestimatesvaluetobring,IntheantennacountwiththeFSKentermakenumbertocompareahour,concernwithcomplicationsoftheexaminationnotslightlylow,Alongwiththeantennanumberwithentertomaketocountofincrease,complicationsthatconcernwithanexaminationisobviouslylowinnotConcernwithanexamination.Mathematicssignmeaning:WithmeanrespectivelythematrixorvectorturntoplaceWithgriptoturntoplacetotally;the⑩meantheKroneproduct;}}.II;meanFrobenusNumber;macalelement;[month,meantherowvectorkchemicalelement:theINmeanNrankunitmatrix.WanttosendoutthesignalmatrixtocarryontoalltobewholebeforenotadoptthesimplificationcalculatewaySearch,againbecauseofthefullspeedratedesign,P=M,asaresultcomplicationsalongwithsendoutantennaAndenterincrementofmakethenumbertopresentindexnumbertoadddoubly.Ouradoptionandtheculturalheritage川issimilartooftheletterwaymodel.ConsideraMIMOSystem,haveMtosendoutantenna,theNreceivesantenna,theassumptionissendingoutTTheletterwayofantennainsidethetimelengthofthesignforflatdecline(thefrequencychoosesnotSex)theletterway.Thevectorofthesignalmeanformis:BEreceiveantenna"thelastTXIreceivesignalrowThequantity,thex,sendsoutantenna,thelastTXtsendsoutsignalrowvector.Spirit.MeanFromsendoutthefallingoffpaththattheantennamarrivesanofreceivetheantennantoincreaseabenefit;'forreceiveAntenna.Ascendofaddsexvoice,candistinguish第45页共45页 modeltochangeintoallbeworthfor.,Thesquareisbadforcloud,TheindependenceofthehourdistributestogetherofreplyGausstochangequantityrandom.ThematrixofthesignalmeanstheformisaY-XH+E,itstowelXBEtheIXNflatnesstodeclineletterawaycharacteristicmatrixTheTXNaddssexvoicematrix.AtthematrixY,intheXandE,theleveldirectionmeanemptyDistribute,theperpendiculardirectionmeanstimedistribute.TheSis1=FSK'}BEacontentedrealamountishandoverdesignofemptyhourmake,thensendoutsignalThematrixsatisfiesAxisasignalhoroscopediagramamongthem.AdopttheKenterstomakeFSKtomake,definingaFSKwaveformtogatherforThehoroscopediagramoftheSTFSKisBecometheProwvector,eachchemicalelementintherowvectordrawfromSendoutsignalmatrixMakewithemptyhourcodeofprocess.AteachaFSKwaveformsentouttosendoutinthesignalmatrixthenumberisaP.A,ispositiveforthePxMrealamountHandoverthedesignmatrix,sendoutthecorpseaFSKwaveforminthePthetimelength,becauseofThisisthefullspeedratetheemptyhourcode,eachformcontainsasign,thesignalofSTFSKDthesizeofthesetasT-PKAlattoishandoveremptytheMLofthecodereceivemakeuseofBEhandovercharacteristic,separatetheconsociationMLtheexaminationproblemofseveralvectorsthusforsomeIsmutuallytheMLexaminationoftheindependentAWGNletterwayisinspirebythatmethod,ConstPassbytodeducetheseparationMLsignalexaminationmethodoftheSTFSK3accordingtotheST-FSKreceiverstructureoftheMLstandardWhenassumptiontheletterwaytheHistohavealreadyknow,atthistimeofhoweverfunctioncansuchasculturalheritageMediumsay.Biggesthowevertranslatethecodestandardi,amongthemkthevegetabletake1,othervegetablesis0.CandrawontheseSTFSKaccordingtoseparatethereceiverstructurethattheMLstandardconcernswithanexamination,suchasfigureeInordertoreceiveantennatoreceivevector,thevdifferenceYBENthevectorconstituteofreceivethesignalmatrix,carryontotheformationweCanthinkmatchesittoreceive,chasingdifferentANaccordingtotheaboverule,thegenerationgoacquirereceivethesignalmatrixtoresolveforthecorpseindependentrowsigh,,tocarryontheMLexaminationrespectivelyallthewayeachtime,canimmediatelybecorrespondofFSKSendoutaformandsendoutsigntoestimateavalue,entertomakeaconversionthroughK/2,getoriginalitytwoEntertomakeadatatoflowofestimateavalueafunctionisanalyticalFromthetype((4)withfigure2mediumcansee,thewoodtextputforwardoftheexaminationmethodmakeMLexaminationmountaintheconsociationofseveralvectorsexaminationtheproblemseparateforthe第45页共45页 Therespectivelyexamineofthequantityproblem,namelytoeachvectorCrthathasbeenre-constructed.PThePcarryonbiggesthoweverexamination,weknowinasendoutthesignalmatrixFSKwaveformofsendoutthenumberisaP,thenreceivingtocarrytoneedtocarryonaMLexamination,FSKwaveformcanbeestimatedirectly.ComputecomplicationsandPpresentslineRelateto,alsobewithsendoutantennafewMstopresentthelinesexualbehavior,alongwithsendoutthebiglinenumberTheincrementofthequantity,withconcernwithanexaminationnot}I,z}andtheadoptionallsearchofconcernwithexaminationtocompare,Letup3complexityofthereceiverstructuresandthecalculationcomplicationsconsumedly,butitspremiseTheconditionistoneedtoknowtheletterwayanappearanceinformation,soneedtocarryontobelieveanestimateof.WhenSeparatetheexaminationmethodadoptionaccordingtothebestmethodtrainedasequence[}e}carryonbelievingawaytoestimateavalueHour,itscomplicationswillhaveincrement,supposingatthistimefromsendouttrainingsigntoconstituteThematrixisaX,,correspondofreceivethesignalmatrixasYr,thenestimateletterwayforH=Y,takesentencingaFSKwaveformasstandard,comparisonTheseparationexaminationmethodandculturalheritagethatthistextputforward[concernwithanexaminationandadoptnotin1,2]wholeSearchofconcernwithanexaminationtotranslatethecodecomplications,theresultFromtable1cansee,beadoptionallsearchtoconcernwithexaminationittranslatescodethedegreeandculturalheritageofconcernwithanexaminationnotequal;culturalheritage[2]inofnotmutuallythousandexaminationThemethodcompareabovetwokindsofcomplicationshavetolower;howeverthis3kindsofmethodsoftheoperationsremiscellaneousdegreeallbecomesadirectproportionwithKM,namelywithsendouttheantennanumbertopresenttheindexnumberrelation;butadoptioncentLeavetheMLreceiverstructure,operatecomplicationswithsendoutantennatopresentlinesexualbehavior;Amongthemmeanaccordingtotrainthesequenceletterwaycomplicationsforestimate.WithK-4,M=4(P=4),N=1isexample,onlyconsidermultiplicationoperation,4kindsofsquaresMethodfromgotobottomupofoperationquantityrespectivelyis17408.15872.17408and2628.CanseecomplicationsofaseparationMLexaminationcalculatewayreducetheIpiecequantityclass.Amongthemp=theM/hourforeachareceivetheletterratiooftheantenna((SNR),UnderthesortcircumstanceThereisthedirectrelationexpressiontype,第45页共45页 thereforeverydifficultfunctioncomparedbothdirectly.ButtextDedicate[3]givefunctionthathavealreadyknownHunderthecertainconditioncompareanddon'tknowHaboutHouroffunctionlike2doubly,tosingleimportationlistoutputtheFSKmakeofimitatetrueenunciation,atMediumetc.letterthecomparethehourconcernwithexaminationcomparenotconcernwithexaminationfunctiongoodabout3dBSoICanestimatetoundergeneralcircumstancetheadvantageconcernedwithanexaminationtobringshouldbebiginthelistAntennaof3,butcan'tattainsogoodfunctionof2MNs,either.MoreoveraccurateOfestimateofcanBegivingtosettleundertheparametercircumstance,computeanallstrangevaluestoacquire.SuchasforM=2}Ns=1ofcircumstance,adoptthemostincommonuseofhourpostponethebestwholehandoveradesignactually,Ofbiggestbeworthforthe1/x/2.AgainfromA}arriveTok'allcombinationscarryonacalculation,cangetXmostGreatlystrangevalueistenthousand,whileattainthesamemistakecodefunctiontopboundary,comparisonthetypeandtype,canbeconcernwiththeexaminationletterratioandconcernwithanexaminationletterarationotSeveraloftherelationof}=P}/}Z(1+2pus)}be,concernwiththeexaminationratiotoconcernwiththeexaminationfunctionnotonthewoodcangood6dBoButbecauseoftype(9)isaccordingtothecomparisonofthemistakecodefunctiontopboundary,thebothsexescanascendtheboundaryLoosetightthedegreeisdifferent,sowithactualthefunctioncontaincertaindifference,passingafollowingcalculationThemachineemulationimitatedtoreallygiveconfirmation,theafunctiondifferenceisorsointhe3dBs.Request.6beoverlanguagesImitateatrueresultWeverifiedthewoodtexttoputforwardfirstoftheseparationMLexaminationcalculatewayandadoptwholeHouroftheMLexaminationsearchofthefunctioniscompletelyconsistent,agreeingwiththetheoriesanalysis.ThenWetoM=2(asaresultP=2)andK=2(asaresultT=4)oftheSTFSKadoptThefunctionthatconcernswithof[with]theseparationMLexaminationmethodthattheexaminationandthistextputforwardnotmakeImitateatruecomparison.Forsimplerisetoseeinalllyimitatereallyallsupposereceivetheantennanumberfor1,theletterwayisanAWGNflatbenefitcomedowntheletterway,andprotectintimelengthTHoldconstantly,separatetheMLexaminationmethodamongthemrespectivelyimitatereallybelieveawayandestimateintheidealAcctheletterwayunderconditionoffunction.Imitateatrueresultshasfigure,3show.Seefromthediagram,第45页共45页 Idealletterwayundercircumstanceconcernwithexaminationcomparenotconcernwiththefunctionoftheexaminationgoodabout3one4dBWhenadoptionaccordingtotrainasequenceoftheletterwayestimateavalue,andtrainasequencetohavehairSendthesignratiotoconcernwiththeexaminationfunctionforthel/20:00thanunderidealcircumstancethefunctionLosesmallin1dB.Thediagramis4rightnessesofMs=4,P=3andK=2(asaresultT=6)ofSTFSKOfimitatetrueenunciation,mediumetc.letterratiohouridealletterwayundercircumstanceconcernwithexaminationther匕notmutuallyThewoodtextputsforwardakindofST-FSKofaccordingtoseparatetheMLsignalexaminationcalculateway,Notonlycansimplifythereceiverstructureconsumedlywithlowertotranslatethecodecomplications,andgetcanGettheexaltationofthefunction.WhenthelettertruththinkstheFknow,theflatbenefitdeclinesBelieveawaytodescendwithconcernwiththeexaminationcalculatewayItznot1comparetoacquireofthefunctionincreaseabenefitbigin3dBIfadoptionaccordingtotrainsignoftheletterwayestimatevaluecalculateway,separateMLexaminationofcomplicationsThedegreestillcanreduce,butthelossofthefunctionisnotbig,evenstillthegoodtoconcernswithnotExamination第45页共45页 翻译:空时频移键控(STFSK)的分离ML信号检测方法高媛媛沈越私胡咸斌(解放军理工大学通信工程学院无线通信系南京210007)摘要该文提出一种分离最大似然(ML)信号检测方法,在信道状态信息已知的假设下,利用信号的正交性特点,使多个接收矢量的ML联合检测问题分离为若干个矢量的单独ML检测问题。若采用合适的信道估值算法,在运算量上不仅大大低于非相干检测,还能获得性能的提高。仿真实验验证了算法的有效性。关键词空时频移键控((STFSK),正交设计,分离最大似然信号检测,相干最大似然检测引言FSK调制方式因其功率利用率高,从而在功率受限信道中得到广泛应用,如军事通信和卫星通信,文献【1]把多天线空时编码技术与FSK调制技术相结合,获得了多天线带来的分集增益,在瑞利衰落信道条件下无需信道信息,可采用非相干的最大似然(ML)检测器f}l。文献[2)提出用矩阵正交三角分解((QR)算法,在没有损失系统性能的前提下,降低了运算量,简化了实现该ML检测器的复杂性。本文提出一种分离ML信号检测方法,在信道状态信息己知的假设下,利用发送信号的正交性特点,使多个接收矢量的ML联合检测问题分离为若干个矢量的单独ML检测问题。在运算量上不仅大大低于前述两种非相千检测,而且获得性能的提高大于3dB。采用分离ML检测方法的STFSK的主要特点是:(1)采用实数全速率正交设计,当发送天线M=2,3,4,5,6,7,8时均可获得满分集增益;(2)ST-FSK与正交FSK调制具有相同的特点即当进制数趋于无穷时,可以逼近山农极限,但是以牺牲带宽有效性为代价;(3)木文提出的分离ML检测方式与文献[1,2]中的非相干检测方法相比,一是性能有大于3dB的好处,另外可以使译码复杂度从与发送天线成指数关系减少为呈线性关系,大大减小运算量;(4)分离ML检测方式是在ML准则下恒等推出的,因此与未经分离的相干检测方式性能完全一致,即检测方法大大简化,而性能没有任何损失;(5)由于相干检测需要知道信道状态信息,上述运算量的减小没有考虑信道估值运算量,考虑M个发送天线N个接收天线的MIMO平坦衰落信道,信道变化相对较慢,信道估计采用基于训练序列的算法时,信道估值器的复杂度与MN成正比,即仍为线性关系:若综合考虑信道估值带来的复杂度,在天线数和FSK进制数较小时,非相干检测的复杂度稍低,随着天线数和进制数的增多,相干检测的复杂度明显低于非相干检测。数学符号含义:和分别表示矩阵或矢量的转置和共扼转置;⑩表示Kronecker乘积;}}.II;表示Frobenius范数;!川,,表示矩阵的第(m,n)个元素;[月,表示列矢量k的第P个元素:INxN表示N阶单位矩阵。在不采用简化算法前,要对所有Kr个发送信号矩阵进行全搜索,又因为全速率设计,P=M,因而复杂度随着发送天线及进制数的增加呈指数倍增加。我们采用与文献川类似的信道模型。考虑一个MIMO系统,具有M个发送天线,N个接收天线,假设在发送T个符号的时间长度内天线之间的信道为平坦衰落(频率非选性)信道。信号的矢量表示形式为:是接收天线上的TXI接收信号列矢量,x,是发送天线,上的TXt发送信号列矢量。气。表示从发送天线m到接收天线n之间的衰落路径增益;‘为接收天线。上的加性噪声,可分别模型化为均值为。,方差为云,时的独立同分布的复高斯随机变量。第45页共45页 信号的矩阵表示形式为Y--XH+E,其巾X是IXN平坦衰落信道特性矩阵TXN加性噪声矩阵。在矩阵Y,X和E中,水平方向表示空间分布,垂直方向表示时间分布。S1=FSK}'}是满足实数正交设计的空时调制,即发送信号矩阵满足其中Ax为信号星座图。采用K进制FSK调制,定义FSK波形集为STFSK的星座图为成Pxl列矢量,列矢量中的每个元素取自发送信号矩阵调制和空时编码的过程。在每一发送信号矩阵中发出的FSK波形数为P.A,为PxM实数正交设计矩阵,即在P个时间长度内发出尸个FSK波形,因此是全速率空时编码,每一波形含K个符号,STFSK信号分组的大小为T--PK}Alamouti''}及Tarokh}s}在对正交空时码的ML接收时利用了正交特性,从而把多个矢量的联合ML检测问题分离为若干个相互独立的AWGN信道的ML检测,受该方法的启发,经过推导得STFSK的分离ML信号检测方法3基于ML准则的ST-FSK接收机结构当假设信道H是已知时,此时的似然函数可以如文献【3]中所述。最大似然译码准则为量其中的第k个兀素取1,其它兀素为0。据此可以画出STFSK基于分离ML准则相干检测的接收机结构,如图2所示。其中.v.,...>.vN分别为接收天线的接收矢量,Y是N个矢量组成的接收信号矩阵,对Y进行的变换我们可以认为是对它的匹配接收,根据以上规则把不同的A,代入可以获得把接收信号矩阵分解为尸个相互独立的列矢量Cl,叹,,对每一路分别进行ML检测,即可获得相应的FSK发送波形及发送符号的估值,经过K/2进制转换,得到原始二4进制数据流的估值序列。性能分析,木文提出的检测方法使得ML检测山多个矢量的合检测问题分离为P个相互独立矢量的分别检测问题,即对每一个经过重新构造的矢量Cr.P=p,...,P进行最大似然检测,我们知道在一个发送信号矩阵中发送的FSK波形数为P,则接收端只需进行P次ML检测,就可以直接获得估计的FSK波形。计算复杂度与P呈线性关系,也就是与发送天线数M呈线性关系,随着发送大线数量的增加,与非相干检测}I,z}及采用全搜索的相干检测相比,大大减小3接收机结构的复杂性及计算复杂度,但是其前提条件是必须知道信道状态信息,因此需要进行信道估计。当分离检测方法采用基于最佳训练序列的方法[}e}进行信道估值时,其复杂度会有所增加,这时假设由发送训练符号组成的矩阵为X,,相应的接收信号矩阵为Yr,则估计信道为H=Y,以判决出一个FSK波形为标准,比较本文提出的分离检测方法与文献〔1,2]中非相干检测及采用全搜索的相干检测译码复杂度。由表1可以看出,当采用全搜索相干检测时其译码复杂度与文献中的非相干检测相当;文献[2]中的非相千检测方法比前述两种复杂度有所降低;然而这3种方法的运算复杂度均与KM成正比,即与发送天线数呈指数关系;而采用分离ML接收机结构,运算复杂度只与发送天线呈线性关系;其中7}(2M+M表示基于训练序列信道估计的复杂度。以K--4,M=4(P=4),N=1为例,仅考虑乘法运算时,4种方法从上至下的运算量分别是17408.15872.17408及2628.可以看出分离ML检测算法的复杂度减少了I个数量级。其中p=M武/时为每一接收天线上的信噪比((SNR),一般情况下有直接的关系表达式,因此很难直接比较两者的性能。但文献[3]给出在一定的条件下,已知H时的性能大约比未知H时的性能好2MN倍,对单输入单输出FSK作的仿真表明,在中等信噪比时相干检测比非相干检测性能好约3dB。因此我们可以估计到一般情况下相干检测带来的好处应该大于单天线时的3dB,但也不能达到2MN这样好的性能。另外精确的估计可以在给定参数情况下,计算出所有的奇异值获得。如对于M=2}N=1的情况,采用最常用的时延最佳全速率实正交设计,的最大值为1/x/2。又由A}到对k'所有的组合进行计算,可以得到X最大奇异值为万,在达到同样的误码性能上界时,比较式和式,可以得到相干检测信噪比与非相干检测信噪比几之间的关系为P}=P}/}Z(1+2pu)}当Pu>第45页共45页 5时,基木上相干检测比非相干检测性能可以好6dBo但由于式(9)是基于误码性能上界间的比较,两性能上界间的松紧程度不一,因此与实际性能有一定差异,通过以下计算机模拟仿真给予了证实,一般的性能差异在3dB左右。结束语仿真结果首先我们验证了木文提出的分离ML检测算法与采用全搜索的ML检测时的性能完全一致,与理论分析相符。然后我们对M=2(因而P=2)且K=2(因而T=4)的STFSK采用非相干检测与本文提出的分离ML检测方法之间的性能作了仿真比较。为简单起见在所有的仿真中均假设接收天线数为1,信道为AWGN平坦瑞利衰落信道,且在时间长度T内保持不变,其中分离ML检测方法分别仿真了在理想信道及估计信道条件下的性能。仿真结果如图3所示。从图中看出,理想信道情况下相干检测比非相干检测的性能好大约3一4dB。当采用基于训练序列的信道估值,且训练序列占发送符号比率为l/20时的相干检测性能比理想情况下性能损失小于1dB。图4对M=4,P=3且K=2(因而T=6)的STFSK的仿真表明,中等信噪比时理想信道情况下相干检测r匕非相木文提出一种ST-FSK的基于分离ML信号检测算法,不仅可以大大简化接收机结构和降低译码复杂度,而且获可得性能的提高。当信道理想己知时,平坦瑞利衰落AWGN信道下与非相干检测算法Itz1相比获得的性能增益大于3dBo若采用基于训练符号的信道估值算法,分离ML检测的复杂度仍然可以减少,而性能的损失不大,甚至仍然好于非相干检测。第45页共45页 致谢两个多月的毕业设计即将结束,在这次的毕业设计中,我们把四年来学的知识系统的应用到了实际中来,学会了把平时所学的基础知识应用到实践中的能力,理论与实践相结合,对知识的理解达到了一个新的层次,实际动手能力也得到了提高,对以后的工作有很大的帮助,同时,在本次设计中也发现了自己的许多的不足之处,我们奔着把知识运用到实践中的目的,不段的尝试不同的方案,不同的实现方法,不懂的地方就问同学,问老师,把遇到的问题都解决掉,发现理论和实际是有差距的,懂得了许多解决实际问题的能力。以后投入到工作中,我们更要发扬这种精神,不段的进取,把理论与实际相结合,不段补充自己的理论,完善自己的知识结构,更好的指导自己的工作和生活。设计是完成了,但由于自己的水平的不足,还是存在一些小的问题,有不足之处。我们之所以能够顺便的完成毕业设计,除了我们的辛勤劳动外,还有老师和同学的大力帮助。在此再次感谢张红琴老师的耐心指导。最后我还要感谢与我一同进行毕业设计的胡会娟同学。第45页共45页

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
大家都在看
近期热门
关闭