陈冲eda课程设计_任意波形信号发生器

陈冲eda课程设计_任意波形信号发生器

ID:13433951

大小:800.00 KB

页数:15页

时间:2018-07-22

陈冲eda课程设计_任意波形信号发生器_第1页
陈冲eda课程设计_任意波形信号发生器_第2页
陈冲eda课程设计_任意波形信号发生器_第3页
陈冲eda课程设计_任意波形信号发生器_第4页
陈冲eda课程设计_任意波形信号发生器_第5页
资源描述:

《陈冲eda课程设计_任意波形信号发生器》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计任意波形信号发生器姓名:陈冲班级:07通信工程指导老师:孙惠章15目录一.简述……………………………………………………(3)二.设计性能要求…………………………………………(3)三.系统框图………………………………………………(3)四.系统电路图……………………………………………(3)五.基本工作原理…………………………………………(4)六.单元电路模块源程序及功能…………………………(5)七.系统仿真波形…………………………………………(10)八.引脚锁定………………………………………………(11)九.实验结果

2、及硬件验证………………………………(11)十.实验心得………………………………………………(13)15任意波形信号发生器的设计一.简述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的波形。二.设计性能要求1.能

3、输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。2.具有幅度和频率的调整。3.单元电路模块使用VHDL语言编写。三.系统框图图1.任意波形信号发生器系统框图四.系统电路图15图2.任意波形信号发生器系统电路图五.基本工作原理将要产生的波形数据存入波形存储器中,然后在参考脉冲的作用下,对输入的频率数据进行累加,并将累加器输出的一部分作为读取波形存储器的地址,将读出的波形数据经D/A转换为相应的电压信号,D/A转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。选择八种基础波形为设计与实现

4、的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度

5、调节。15六.单元电路模块源程序及功能1.分频模块以下为分频模块(CT74161)的VHDL语言编程源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCT74161ISPORT(clk:INSTD_LOGIC;clk_div2:OUTSTD_LOGIC;clk_div4:OUTSTD_LOGIC;clk_div8:OUTSTD_LOGIC;clk_div16:OUT

6、STD_LOGIC);ENDCT74161;ARCHITECTURErtlOFCT74161ISSIGNALcount:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENIF(count="1111")THENCount<=(OTHERS=>'0');ELSECount<=count+1;ENDIF;ENDIF;ENDPROCESS;clk_div2<=count(0);clk_div4<=count(1);clk_div8<

7、=count(2);clk_div16<=count(3);ENDrtl;在QuartusII6.0下得到的电路模块图形和软件仿真数据:151.频率选择模块以下为频率选择模块(tiaopin)的VHDL语言编程源程序libraryieee;useieee.std_logic_1164.all;entitytiaopinisport(s1,s0:instd_logic;a,b,c,d:instd_logic;y:outstd_logic);endtiaopin;architectureoneoftiaopinissignals:

8、std_logic_vector(1downto0);signaly_temp:std_logic;begins<=s1&s0;process(s1,s0,a,b,c,d)begincasesiswhen"00"=>y_temp<=a;when"01"=>y_temp<=b;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。