eda课程设计--任意波形发生器

eda课程设计--任意波形发生器

ID:24765418

大小:3.30 MB

页数:10页

时间:2018-11-16

eda课程设计--任意波形发生器_第1页
eda课程设计--任意波形发生器_第2页
eda课程设计--任意波形发生器_第3页
eda课程设计--任意波形发生器_第4页
eda课程设计--任意波形发生器_第5页
资源描述:

《eda课程设计--任意波形发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA大作业学院:电子信息学院专业:通信专业102班姓名:许文博学号:4100303021010EDA技术概述EDA是电子设计自动化(ElectronicDesignAutomation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(HardwareDescriptionlanguage)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标

2、芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。任意波

3、形信号发生器的概述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的波形。任意波形产生器构成:10上图为任意波形发生器的构成图,sel为控制波形输出。Kk为分频模块,与k步长调整波形输出的频率。输出8位数字信号经过D/A转换输出负波形,

4、再经过1:1比例反向放大器输出正向波形,施密特触发电路输出方波然后经测频模块由数码管显示出频率。仿真产生的波形如下:正弦波10三角波方波10示波器显示如下图:方波三角波10正弦波电路模块组成部分:分频模块LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCT74161ISPORT(clk:INSTD_LOGIC;clk_div2:OUTSTD_LOGIC;clk_d

5、iv4:OUTSTD_LOGIC;10clk_div8:OUTSTD_LOGIC;clk_div16:OUTSTD_LOGIC);ENDCT74161;ARCHITECTURErtlOFCT74161ISSIGNALcount:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENIF(count="1111")THENCount<=(OTHERS=>'0');ELSECount<=count+1;ENDIF;ENDIF;E

6、NDPROCESS;clk_div2<=count(0);clk_div4<=count(1);clk_div8<=count(2);clk_div16<=count(3);ENDrtl;频率选择模块libraryieee;useieee.std_logic_1164.all;entitytiaopinisport(s1,s0:instd_logic;a,b,c,d:instd_logic;y:outstd_logic);endtiaopin;architectureoneoftiaopinissignals:std_l

7、ogic_vector(1downto0);signaly_temp:std_logic;begins<=s1&s0;process(s1,s0,a,b,c,d)begincasesiswhen"00"=>y_temp<=a;when"01"=>y_temp<=b;when"10"=>y_temp<=c;when"11"=>y_temp<=d;whenothers=>y<='X';10endcase;endprocess;y<=y_temp;endone;波形选择模块libraryieee;useieee.std_log

8、ic_1164.all;entityDECODERisport(a0,a1,a2,s0,s1,s2:instd_logic;y0,y1,y2,y3,y4,y5,y6,y7:outstd_logic);endDECODER;architectureymqofDECODERissignala:std_logic_vect

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。