eda课程设计-简易信号发生器

eda课程设计-简易信号发生器

ID:9389492

大小:326.50 KB

页数:21页

时间:2018-04-29

eda课程设计-简易信号发生器_第1页
eda课程设计-简易信号发生器_第2页
eda课程设计-简易信号发生器_第3页
eda课程设计-简易信号发生器_第4页
eda课程设计-简易信号发生器_第5页
资源描述:

《eda课程设计-简易信号发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA课程设计EDA课程设计简易信号发生器21/21EDA课程设计简易信号发生器 1.课程设计要求1.完成实验板上DAC的匹配电阻选择、焊接与调试,确保其可以正常工作。2.根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率值。3.可以控制改变输出波形类型,在正弦、三角波、锯齿波、方波之间切换。4.输出波形幅度可调,最小幅度步进100mV。2.直接数字

2、频率合成(DDS)原理直接数字频率合成技术是根据相位间隔对正弦信号进行取样、量化、编码,然后储存在EPROM中构成一个正弦查询表。频率合成时,相位累加器在参考时钟的作用下对时钟脉冲进行计数,同时将累加器输出的累加相位与频率控制字K预置的相位增量相加,以相加后的吉果形成正弦查询表的地址;取出表中与该相位对应的单元中的幅度量化正弦函数值,经D/A转换器输出模拟信号,再经低通滤波器平滑得到符合要求的模拟信号。相位累加器的最大计数长度与正弦查询表中所存储的相位分隔点数相同,由于相位累加器的相位增量不同,将导致一周期内的取样点数不同,在取样频率(由参考时钟

3、频率决定)不变的情况下,输出信号的频率也相应变化。fin=M(fclk/2^n)21/21EDA课程设计3.系统设计3.1总体设计方案系统控制模块数码管显示(幅度频率)按键读入LED指示灯频率调节波形选择幅度调节波形产生模块D/A转换显示输出信号发生器从总体上可以分为系统控制模块,波形产生模块和D/A转换模块。系统控制模块负责处理按键的读入,按键处理,将频率和幅度在数码管上显示,调节频率和幅度以及波形的选择。波形发生模块负责按照控制模块输入的信号,输出相应的波形(正弦波,方波锯,齿波,三角波),以及输出相应幅度和频率的信号。D/A转换模块负责将输

4、入的数字信号转换为模拟信号。3.2系统控制模块键值读取和按键消抖模块1、按键控制模块和数码显示模块21/21EDA课程设计1)、按键读取模块设计思路:由于按键按下时有一段时间的低电平,而按下时A、B、C、D的输入键值始终是高电平,故可以通过在20M时钟的每个上升沿来检测按键输入端的电平变化,经过消抖后就可以判断是哪个按键按下。按键检测和按键值读取的主要进程:process(clk_key)variablecounter:std_logic_vector(15downto0);variablestate:integerrange4downto0;b

5、eginifrising_edge(clk_key)thencasestateiswhen0=>if(abcd(0)='0'orabcd(1)='0'orabcd(2)='0'orabcd(3)='0')thenstate:=1;elsestate:=0;endif;when1=>ifcounter>="11110"thenstate:=2;counter:="00000";elsecounter:=counter+1;state:=1;endif;when2=>ifabcd(0)='0'orabcd(1)='0'orabcd(2)='0'ora

6、bcd(3)='0'thencaseabcdiswhen"0111"=>key_value_1<="00";state:=3;when"1011"=>key_value_1<="01";state:=3;when"1101"=>key_value_1<="10";state:=3;when"1110"=>key_value_1<="11";state:=3;whenothers=>state:=0;endcase;elsestate:=0;endif;when3=>if(abcd(0)='0'orabcd(1)='0'orabcd(2)='0'o

7、rabcd(3)='0')thenifcounter>="00011"thencounter:="00000";out_clk<='1';elsecounter:=counter+1;endif;state:=3;elsestate:=4;out_clk<='1';endif;when4=>ifcounter>="00111"thenstate:=0;counter:="00000";out_clk<='0';21/21EDA课程设计elsecounter:=counter+1;state:=4;endif;endcase;endif;endpr

8、ocess;2)、按键处理和显示模块设计思路外接的四个按键的分配:A:数码管频率显示和幅度显示切换;B:波形之间的切换(用四个LED对应

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。