fpga在mif文件创建与使用中的应用

fpga在mif文件创建与使用中的应用

ID:8795309

大小:50.00 KB

页数:3页

时间:2018-04-08

fpga在mif文件创建与使用中的应用_第1页
fpga在mif文件创建与使用中的应用_第2页
fpga在mif文件创建与使用中的应用_第3页
资源描述:

《fpga在mif文件创建与使用中的应用》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、FPGA在mif文件创建与使用中的应用文章出处:中电网发布时间:2011/07/02

2、677次阅读

3、0次推荐

4、0条留言业界领先的TEMPO评估服务高分段能力,高性能贴片保险丝专为OEM设计师和工程师而设计的产品使用安捷伦电源,赢取iPad2Samtec连接器完整的信号来源每天新产品时刻新体验完整的15A开关模式电源   1引言  在一些需要特殊运算的应用电路中,只读存储器ROM是关键元件,设计人员通常利用ROM创建各种查找表,从而简化电路设计,提高电路的处理速度和稳定性。FPGA是基于SRAM的可编程器件。掉电后FPGA上的配置信息将全部丢失,所以由FPGA构造的数字系统在每次上电后要依赖于

5、外部存储器来主动配置或在线被动配置。真正意义上的ROM应具有掉电后信息不丢失的特性,因此利用FPGA实现的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问题。本文讨论FPGA的ROM初始化问题,详细介绍mit文件的创建与使用。  MIF文件是MapInfo通用数据交换格式,这种格式是ASCⅡ码,可以编辑,容易生成,且可以工作在MapInfo支持的所有平台上。它将MapInfo数据保存在两个文件中:图形数据保存在。MIF文件中,而文本(属性)数据保存在。MID文件中。其中,.MIF文件有两个区域:文件头区域和数据节,文件头中保存

6、了如何创建MapInfo表的信息,数据节中则是所有图形对象的定义。故MIF应是保存图形的一种文件格式。   2基于FPGA的ROM的实现  FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的

7、造价。厂商也可能会提供便宜的但是编辑能力差的FPGA.因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。  基于MAX+PLUSⅡ软件平台,FPGA可编程器件实现ROM功能比较简单。只需运行MAX+PLUSⅡ,选择图形输入,在新建页的空白处双击并在弹出的对话框中选择d:\maxplus2\max2lib\mega_lpm,调用软件提供的参数可调库(mega_lpm),找出参数化ROM宏模块(lpm_rom),如图1所示。表1列出lpm_ROM宏模块的端口及参数设置。  

8、  根据需要选择必要的AddrESS[]、q[]两个端口创建加法运算的查找表。引入lpm_ROM宏模块后,一定要把LPM_ADDRESS_CONTROL设置为″UNREGISTERED″,否则编译报错。本系统设计选用了Altera公司的FLEX10K系列的FPGA(EPF10K10LC84-4),其模块结构如图2所示。     3lpm_ROM初始化及mif文件   引入lpm_ROM宏模块后,开始ROM的初始化,这是运用lpm_ROM宏模块做为系统开发的关键。ROM初始化就是要在对应的地址赋初始值以实现查找表的功能。在系统编译之前一定要先设置LPM_FILE参数。实际上就是要加入一个mif

9、文件或hex文件。以下详细讨论在MAX+PLUSⅡ环境下mif文件的创建和使用。   3.1mif文件的格式及创建   MIF是Mapinfo用来向外交换数据的一种中间交换文件。当用户在Mapinfo中将一张Mapinfo地图表以MIF格式转出来MIF文件中后,Mapinfo会同时在用户指定的保存目录下生成两个文件(*.mif,*.mid)。其中*.mif文件保存了该Mapinfo表的表结构及表中所有空间对象的空间信息(如:每个点对象的符号样式、点位坐标;每个线对象的线样式、节点数据、节点坐标;区域对象的填充模式、每个区域包含的子区域个数及每个区域的节点数、节点数等)。而*.mid文件则按记

10、录顺序保存了每个空间对象的所有属性信息。这两个文件都为文本性质的文件,用户可以通过相应的文件读写方法实现对文件内容的读写。   3.1.1mif文件格式   mif文件是在编译和仿真过程中作为存储器(ROM或RAM)初始化输入的文件,即memoryinitializationfile.mif文件格式为:  3.1.2mif文件创建   mif文件的创建很简单,主要有两种方法,一种是在MAX+PLUSⅡ环境下,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。