qpsk调制解调器仿真设计

qpsk调制解调器仿真设计

ID:8474614

大小:252.50 KB

页数:12页

时间:2018-03-29

qpsk调制解调器仿真设计_第1页
qpsk调制解调器仿真设计_第2页
qpsk调制解调器仿真设计_第3页
qpsk调制解调器仿真设计_第4页
qpsk调制解调器仿真设计_第5页
资源描述:

《qpsk调制解调器仿真设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、湖南文理学院课程设计报告课程名称:专业综合课程设计教学院部:电气与信息工程学院专业班级:通信工程08101班学生姓名:谢德学生学号:1指导教师:叶华完成时间:2011年6月21日评阅意见:评阅教师日期报告成绩:目录一、摘要2二、设计目的:2三、设计要求:2四、QPSK调制解调的基本原理21、多进制数字相位调制(MPSK)基本原理22、QPSK的调制33、QPSK的解调4五、QPSK调制解调系统仿真51、基于FPGA调制电路52、基于FPGA解调电路7六、心得体会9参考文献9QPSK调制解调器的仿

2、真设计一、摘要在数字信号的调制方式中QPSK是目前最常用的一种数字信号调制方式,它具有较强的抗干扰性、较高的频谱利用率和较高的功率利用率,且在电路上实现也较为简单。因此,它广泛应用于高、中速的数据传输系统中。调制技术是通信领域里非常重要的环节,一种好的调制技术不仅可以节约频谱资源而且可以提供良好的通信性能。QPSK调制是一种具有较高频带利用率和良好的抗噪声性能的调制方式,在数字移动通信中已经得到了广泛的应用。数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了QPSK调制解调

3、的原理,并基于FPGA实现了QPSK调制解调电路,以及在maxplus2环境下的仿真。关键词:数字信号;调制;解调;QPSK;maxplus;二、设计目的:掌握QPSK调制解调技术,用仿真软件设计实现QPSK调制解调器。三、设计要求:1、阐述QPSK调制解调技术2、画出QPSK调制解调器的原理方框图3、画出QPSK调制解调器的仿真波形图4、写出详细的设计报告(不少于5000字)四、QPSK调制解调的基本原理1、多进制数字相位调制(MPSK)基本原理多进制数字相位调制也称多元调相或多相制。他利用具

4、有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有个相位,它可以代表k位二进制码元的不同码组。在MPSK信号中,载波相位可取M个可能值,。因此MPSK信号可表示为假定载波频率是基带数字信号的整数倍,则上式可改写为由上式表明,MPSK信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。带宽的产生可按类似于双边带正交调制信号的方式实现。本文以4PSK为例进行分析与说明。2、QPSK的调制4PSK常称为正交相移键控(QuadraturePha

5、seShiftKeying,QPSK)。它的每个码元含有2b的信息。现在用00,01,10,11表示QPSK的四种状态。所以,对于输入的二进制序列,每两位码元一组。然后根据码元情况,用载波的四种相位去表示它们。这种由两个码元构成一种状态的符号码元称为双比特码元。码元ab与相位之间的关系如表1所示。表1QPSK信号的编码abab00110110QPSK的产生方法两种。第一种是用相乘电路,第二种是选择法,本设计采用相乘电路来设计的。如图1所示。图中输入基带信号是二进制不归零双极性码元,它被“串/并变

6、换”电路变成两路码元a和b。变成并行码元a和b后,其每个码元的持续时间是输入码元的2倍。这两路并行码元序列分别用以和两路正交载波相乘。两路信号在相加电路中相加后得到输出矢量。串/并变换相干载波产生π/2相移相乘电路相乘电路相加电路单/双极性变换单/双极性变换图13、QPSK的解调由于QPSK信号可以看作是两个正交2PSK信号的叠加,所以用两路正交的相干载波去解调,可以很容易地分离这两路正交的2PSK信号。相干解调后的两路并行码元a和b,经过并串变换后,成为串行数据输出。此法是一种正交相平解调法,

7、又称极性比较法,原理如图2所示。BPFLPFLBP抽判抽判并/串变换相干载波产生π/2相移相乘电路相乘电路定时抽样输出图2为了便于分析,可不考虑噪声的影响。这样,加到接收机上的信号在符号持续时间内可表示为假定讨论的π/4相移系统,那么只能取π/4、3π/4、5π/4、7π/4。两路乘法器的输出分别为LPF输出分别是根据π/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则列于表2,当判决器按极性判决时,若正抽样值判为1,负抽样判定为0,则可将调相信号解调为相应的数字信号。解调出来的a和b在

8、经过并/串变换,就可以还原出原调制信号。若解调π/2移相系统的PSK信号,需改变移相网络及判决准则。表2π/4系统判决器判决准则符号相位的极性的极性判决器输出abπ/4++113π/4-+015π/4--007π/4+-10五、QPSK调制解调系统仿真1、基于FPGA调制电路QPSK调制电路方框图基带信号通过串/并转换器得到2位并行信号,四选一开关根据该数据,选择载波对应的相位进行输出,即得到调制信号,调制框图如图3所示。FPGAclkstart基带信号四选一开关并/串转换已调信号分频图3QPS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。