毕业设计(论文)-基于单片机的dds 信号发生器设计

毕业设计(论文)-基于单片机的dds 信号发生器设计

ID:8466445

大小:2.76 MB

页数:44页

时间:2018-03-28

毕业设计(论文)-基于单片机的dds 信号发生器设计_第1页
毕业设计(论文)-基于单片机的dds 信号发生器设计_第2页
毕业设计(论文)-基于单片机的dds 信号发生器设计_第3页
毕业设计(论文)-基于单片机的dds 信号发生器设计_第4页
毕业设计(论文)-基于单片机的dds 信号发生器设计_第5页
资源描述:

《毕业设计(论文)-基于单片机的dds 信号发生器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、西北工业大学明德学院本科毕业设计论文前言频率源在现代电子系统中占有十分重要的地位,通信、雷达、制导等电子系统功能的实现及性能指标的好坏都直接依赖于频率源的性能。频率源的性能是伴随着频率合成技术的进步而发展的,频率合成技术主要有直接合成、锁相频率合成直接数字合成(DDS)三种方式。DDS的概念首先由美国学者J.Tierney等人提出,它不同于前两种频率合成方法。它是把一系列数字量形式的信号通过DAC转换成模拟信号的合成技术。与其他频率合成方法相比,DDS具有频率转换时间极短、频率分辨率高、输出相位连续、可编程、全数字化易于集成等突出优点。因此,它得到

2、越来越广泛的应用,成为现代频率合成技术中的佼佼者。本文通过STM32来实现对DDS芯片AD9852控制,来产生10MHz频率内的正弦信号、调幅信号、调频信号、ASK及PSK信号。并通过使用AD8370及AD811来实现对幅度的控制。44西北工业大学明德学院本科毕业设计论文第1章绪论在工业自动化系统中,经常要用一些信号作为测量基准信号或输出信号。随着科学技术的发展,现代电子测量对信号源频率准确度和稳定度的要求越来越高。例如在无线电通信系统中,蜂窝通信频段在912MHz并以30kHz步进。为此,信号频率稳定度的要求必须优于10-6。作为电子系统必不可少

3、的信号源,在很大程度上决定了系统的性能,因而常称之为电子系统的“心脏”。传统的信号源采用振荡器,只能产生少数几种波形,自动化程度较低,且仪器体积大,灵活性与准确度差。而现在要求信号源能产生波形的种类多、频率高,而且还要体积小、可靠性高、操作灵活、使用方便及可由计算机控制。为此可采用直接数字频率合成(DirectDigitalSynthesis,简称DDS)技术,把信号发生器的频率稳定度、准确度提高到与基准频率相同的水平,并且可以在很宽的频率范围内进行精细的频率调节。采用这种方法设计的信号源可工作于调制状态,可对输出电平进行调节,也可输出各种波形。1

4、.1频率合成技术的研究历史与发展现状频率合成技术的理论形成于二十世纪三十年代左右,如今已有七十多年的发展史。频率合成的概念就是由一个或几个参考频率通过一些转换,产生一个或多个频率信号的过程。在经历了直接频率合成,锁相环(PLL:PhaseLockedLoop)等发展阶段后,如今广泛使用的是直接数字频率合成技术。最早的直接频率合成技术是用硬件对高稳定的参考频率源进行加、减、乘、除得出所需频率,可实现快速频率转换、高频率分辨率。它又包括直接式相关频率合成器和直接式非相关频率合成器。直接式相关频率合成器只有一个频率参考源,合成器所需产生的频率由这个参考源

5、经过分频、混频、倍频后而产生,这样的方式产生的各个频率的精度和稳定度与参考频率源一致;直接式非相关频率合44西北工业大学明德学院本科毕业设计论文成器采用多个参考频率源,这样需要产生多个频率稳定度和精度都相同的频率源。直接频率合成技术的缺点是它需要采用很多带通滤波器,结构复杂,体积大容易产生过多的谐波和杂散分量,大多数硬件的非线性影响无法滤除。1.2本课题的主要研究工作本课题的主要研究工作是研制一个高性能、稳定的DDS信号发生器,具体内容包括:1.DDS技术的工作原理及波形产生技术:研究DDS的工作原理,利用DDS芯片设计并实现整个系统,产生正弦波、

6、方波、2ASK、2FSK、2PSK等各种波形,2.微控制器技术:研究ST公司的32位ARMSTM32F103V8T6的原理及工作特性,实现键盘接口电路和波形产生控制电路。3.信号的输出处理技术:对DDS芯片输出的模拟信号进行控制,实现稳幅输出。4.软件设计:利用单片机控制整个系统的工作过程,通过软件编程对输出电路进行误差补偿,提高信号发生器的精度和准确度。充分挖掘软件的功能,利用软件代替部分硬件电路,用现代的设计方法完成整个系统的设计。44西北工业大学明德学院本科毕业设计论文第二章DDS技术的基本原理2.1概述直接数字频率合成技术的出现改变了以往的

7、采用RC振荡电路、直接频率合成、锁相环等传统的频率合成方法,它以固定的精确时钟源为基准,利用数字处理模块产生频率和相位均可调的输出信号。实质上它是按照可编程频率控制字所设定的比例因数,在DDS体系结构中对参考时钟源进行分频,得到所需频率的信号。典型的频率控制字一般为24-48位长,用来提供更优越的频率分辨率,实现DDS技术。使用DDS技术得到的合成信号不仅信号的频率切换速度快,便于程控,还可以方便的合成其它周期性的任意波形信号。2.2DDS的基本工作原理2.2.1直接数字频率合成器原理简介直接数字频率合成器是从相位概念出发直接合成所需波形的一种频率

8、合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成。DDS原理框

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。