数字逻辑系统课程设计报告-电子密码锁

数字逻辑系统课程设计报告-电子密码锁

ID:8325021

大小:115.50 KB

页数:16页

时间:2018-03-19

数字逻辑系统课程设计报告-电子密码锁_第1页
数字逻辑系统课程设计报告-电子密码锁_第2页
数字逻辑系统课程设计报告-电子密码锁_第3页
数字逻辑系统课程设计报告-电子密码锁_第4页
数字逻辑系统课程设计报告-电子密码锁_第5页
资源描述:

《数字逻辑系统课程设计报告-电子密码锁》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、数字逻辑系统课程设计报告课题:电子密码锁专业:电子信息工程技术班级:B1311学号:姓名:设计时间:2015年3月23日-27日评定成绩:指导教师:目录一、设计内容与要求………………………………………1.设计内容………………………………………………2.设计要求………………………………………………二、方案设计1.程序与仿真波形………………………………………2.顶层仿真模块原理图…………………………………三、实现与测试……………………………………………四、分析与总结……………………………………………参考文献………………………………………………一.设计内容与要求1、设计六位密码(

2、每位均可以是0~9任意数字)的电子密码锁,用四个拨码开关(k1~k4)输入,并通过七段数码管显示输入密码。2、密码验证:按键设置验证开始,输入密码后,密码正确时开锁,绿灯亮,红灯灭,表示开锁成功;当密码输入错误时,绿灯灭,红灯亮,表示开锁失败。3、密码更改:密码验证正确后可以更改,并设置按键控制更改密码功能。4、密码清除:密码输入过程中可以清除,并重新输入。5、初始密码:预设初始密码为二.方案设计1.总体模块设计通过拨码输入密码,送到密码校验电路,如果校验正确开锁,并执行显示在LED灯上,同时密码校验正确可以进行密码修改。密码修改电路拨码输入密码校验电路执行电路开锁电路2.

3、顶层文件设计本设计采用EDA技术和VHDL语言设计了一种按键输入密码并数码管回显,当输入正确密码时轰动绿灯亮、红灯熄灭表示开锁,而当输入错误密码时,红灯亮、绿灯熄灭表示关锁。根据系统设计要求,系统设计采用自顶向下的设计方法。顶层设计采用原理图设计方式,系统的整体组装设计原理图如图2所示。它由拨码输入、寄存器、密码比较和显示灯四个模块组成。其顶层文件设计如图:3.各功能模块的具体实现(1)拨码输入模块拨码输入模块包括设置密码并读取、输入密码、系统复位功能。该模块中我们设置了6个按键,各个按键的功能分别为:按键1、2、3、4分别对应4位二进制密码输入、键5为密码确认键、键6为系

4、统复位和密码读取按键。如图:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityaisport(k1,k2,k3,k4,k5:instd_logic;k_out:outstd_logic_vector(3downto0);q:bufferstd_logic_vector(2downto0));end;architecturebhvofaisbeginprocess(k5)beginifk5'eventandk5='1't

5、henifq<"101"thenq<=q+'1';elseq<="000";endif;k_out<=k1&k2&k3&k4;endif;endprocess;endbhv;(2)寄存器用两个寄存器,每个寄存器存入6个二进制数,每个寄存器的输入和输出各6个。b2寄存器为存入拨码输入的6个二进制数,b1寄存器为存入密码的6个二进制数,并在b1中加入初始密码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityb1isport(d1,d2,d3,d4,d5,d6:instd_log

6、ic_vector(3downto0);q:instd_logic_vector(2downto0);q1,q2,q3,q4,q5,q6:outstd_logic_vector(3downto0));end;architecturebhvofb1issignalqq1,qq2,qq3,qq4,qq5,qq6:std_logic_vector(3downto0);beginprocess(q)beginifq<"101"thenqq1<="0001";qq2<="0010";qq3<="0011";qq4<="0100";qq5<="0101";qq6<="0110";qq1

7、<=d1;qq2<=d2;qq3<=d3;qq4<=d4;qq5<=d5;qq6<=d6;endif;endprocess;q1<=qq1;q2<=qq2;q3<=qq3;q4<=qq4;q5<=qq5;q6<=qq6;endbhv;b2寄存器libraryieee;useieee.std_logic_1164.all;entityb2isport(q:instd_logic_vector(2downto0);d1,d2,d3,d4,d5,d6:instd_logic_vector(3downto0);q1,q2,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。