四位半数字电压表课程设计内页

四位半数字电压表课程设计内页

ID:8324777

大小:217.50 KB

页数:17页

时间:2018-03-19

四位半数字电压表课程设计内页_第1页
四位半数字电压表课程设计内页_第2页
四位半数字电压表课程设计内页_第3页
四位半数字电压表课程设计内页_第4页
四位半数字电压表课程设计内页_第5页
资源描述:

《四位半数字电压表课程设计内页》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊长春大学位数字电压表[摘要]数字电压表主要分为四部分:测量部分、显示部分、脉冲部分、供电部分。测量部分是通过4位半双积分式A/D转换器ICL7135芯片实现。ICL7135对模拟电压进行A/D转换,输出BCD码,并自动输出极性判断信号,同时ICL7135用动态扫描传送数据使数码管亮灭的时间间隔短,保证了测量结果的稳定显示。74LS47和共阳数码管是显示部分,74LS74译码器接收ICL135的BCD码译码成控制信号去点亮数码管,从而显示出

2、所测的模拟电压值。用ICM7556配上合适的电阻电容组成多谐振荡器作为脉冲部分产生标准的137KHz频率提供ICL7135工作时针信号。外接+5V和74HC04产生的-5V是供电部分给整个电路供电。整个设计利用反相器与555结合产生-5V给ICL7135供电降低了电路的供电要求。选用ICL7135使显示变得简单而又稳定。[关键字]数字电压表A/D转换数码管译码器ICL7135┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊长春大学DIGITALVOLTMETER[Abstract]di

3、gitalvoltmetermeasurementaremainlydividedintofourparts:part,thatpart,pulse,powersupply.MeasurementpartisthroughfourhalfA/DconverterICL7135chip.ICL7135tosimulateA/Dconversionvoltageoutput,andautomaticBCDoutputsignal,andICL7135polarityjudgmentwithdynamicscanning

4、GuanLiangdigitaldatatransmissiontodestroythetimeintervalisshort,guaranteethestabilityofmeasurementresults.74LS47andYangdigitaldisplay74LS74part,isreceivingthedecoderICL135BCDdecodingintothecontrolsignaltolight,whichshowedthatthesimulationtestvoltagevalues.ICM7

5、556withmatchingappropriateresistancecomposedmanyharmonicoscillatorascapacitancehavestandard137KHzpulsefrequencysignal.ICL7135provideworkinghourExternal+5Vand74HC04produces-forthepartis5Vcircuitpowersupply.Thewholedesignusinginverterandcombinedtoproduce555-5Vci

6、rcuitICL7135powersupplydecreased.ICL7135choosetodisplaybecomesimpleandstable.[Keywords]ThedigitalvoltmeterA/DconversionDigitaltubeDecoderICL7135┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊长春大学目录1前言11.1总体方案设计论证21.1.1设计要求31.1.2设计目的31.2数字电压表的特点及发展趋势31.2.1数字电压表的特点41.2.

7、2数字仪表的发展趋势52数字电压表的基本组成原理及电路设计72.1数字电压表基本原理及系统框图72.2输入滤波电路及负电源组成原理72.3位A/D转换器ICL7135的功能介绍82.4ICM7556时钟振荡器92.5驱动器、译码器、数码显示器93调试要点及测试方法103.1调试要点及测试方法103.2故障及排除104设计心得与体会11参考文献12附录:13┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊长春大学1前言随着电子科学技术、传感技术、自动控制技术和计算机的发展,电阻、电压、电

8、流等数值的测量变得越来越常见,其中电压的测量最为常见。传统的指针式电压表应经无法满足如今高精度的要求,数字电压表的诞生很好地解决了这一问题。数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。且数字电压表精度高,抗干扰能力强,可扩展性强,集成方便,读数方

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。