基于fpga的曼切斯特编码的设计

基于fpga的曼切斯特编码的设计

ID:8322410

大小:1018.00 KB

页数:60页

时间:2018-03-19

基于fpga的曼切斯特编码的设计_第1页
基于fpga的曼切斯特编码的设计_第2页
基于fpga的曼切斯特编码的设计_第3页
基于fpga的曼切斯特编码的设计_第4页
基于fpga的曼切斯特编码的设计_第5页
资源描述:

《基于fpga的曼切斯特编码的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计东北大学毕业设计(论文)东北大学继续教育学院教务处毕业设计(论文)GRADUATEDESIGN(THESIS)设计(论文)题目基于FPGA的曼切斯特编码的设计学生学习中心专业电气工程及其自动化指导教师二〇一七年三月二日III东北大学继续教育学院毕业设计(论文)摘要在电信领域,曼彻斯特码是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示的。它是一种超越传统数字传输的信道编码技术,同时被认为是一种自定时码。自定时就意味着完全可以实现数据流的精确同步。每一个比特都准确的在一个预先定义时期的时间中被传送。曼彻斯特编码已经被许多高效率且被广泛

2、使用的电信标准所采用,例如以太网电讯标准。曼彻斯特编码具有隐含时钟、去除了零频率信号的特性,使得它在石油勘探测井中得到广泛的应用[1]。本文对曼彻斯特编码相关原理和FPGA进行概述,介绍了其编解码规则。对其特点和应用范围进行了说明。提出了曼彻斯特编解码方案,重点运用VerilogHDL语言对其编解码的描述。最后讲述该系统在QuartusⅡ软件下的运行和仿真。关键词:FPGA;曼彻斯特编解码;VerilogHDL语言III东北大学继续教育学院毕业设计(论文)目录1.曼彻斯特码概述11.1曼彻斯特码简介11.2曼彻斯特码原理11.3曼彻斯特编解码21.3.1编码31.

3、3.2解码52.曼彻斯特编解码实现方法比较62.1集成方法实现62.2软件编解码72.2.1单片机实现72.2.2FPGA/CPLD实现82.2.3方案选择83.FPGA原理及平台简介83.1FPGA原理与特点103.2QUARTUSII及HDL语言133.2.1基于QUARTUSII的FPGA设计13III东北大学继续教育学院毕业设计(论文)3.2.2VERILOGHDL简介164.系统总体设计194.1编码器194.2解码器23 4.3时钟模块275.系统测试与仿真285.1曼彻斯特编码仿真285.1.1编码分析345.2曼彻斯特解码仿真355.2.1解码分析

4、366.结论及展望37参考文献38附录40III东北大学继续教育学院毕业设计(论文)1.曼彻斯特码概述1.1曼彻斯特码简介在电信领域,曼彻斯特码(也称作相位码或者PE)是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示。曼彻斯特编码因此被认为是一种自定时码。自定时意味着是可以实现数据流的精确同步。每一个比特都准确的在一预先定义时间时期的时间中被传送[2]。曼彻斯特码又称双向码。它是对每个二进制代码分别利用两个具有两个不同相位的二进制新码去取代的码。双向码的特点是只用两个电平。与用高、低电平表示0,1的非归零二进制码相比,在连0或连1的情况下

5、,更易于提取同步时钟信息,又无直流漂移,编、译码过程比较简单,且有强的抗干扰能力。1.2曼彻斯特码原理56东北大学继续教育学院毕业设计(论文)曼彻斯特码是一种常用的用于数字基带传输的码型。它是一种用跳变沿(而非电平)来表示要传输的二进制信息(0或1),一般规定在位元中间用向下跳变表示“1”,用向上跳变表示“0”。曼彻斯特编码提供了一种简单的方法——在长时间段内没有电平跳变的情况下,仍然能够对任意的二进制序列进行编码,并且防止低通模拟电路中低频直流飘移所引起的比特错误以及防止在这种情况下同步时钟信号的丢失[3]。如果保证传送的编码交流信号的直流分量为零并且能够防止

6、中继信号的基线漂移,那么很容易实现信号的恢复和防止能量的浪费。所以曼彻斯特码具有丰富的位定时信息。同时,有许许多多的复杂的编码方法中,在达到同等目的情况下只需要减少带宽负荷并且只有减少的同步信号相位。二进制码与曼彻斯特码波形的对比关系如图1-1。图1-1二进制码与曼彻斯特码波形1.3曼彻斯特编解码56东北大学继续教育学院毕业设计(论文)本次论文曼彻斯特编解码由编码模块和解码模块二个部分组成。编码模块提供时钟源,并且对输入的待传送原码进行编码,将其转换成曼彻斯特码并发送。解码模块用则是将曼彻斯特码整形后利用同步时钟模块提供的同步信号把它转换成原码输出。二个相对独立的

7、模块相互协同工作共同完成曼彻斯特编解码工作。1.3.1编码曼彻斯特编码是一种自同步的编码方式,即时钟同步信号就隐藏在数据波形中。曼彻斯特编码电平跳变的规则是:低电平的中间时刻跳变表示为‘0’,用高电平中间时刻的跳变表示为‘1’,如下图1-2所示。因而这样防止时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误,在这个技术下,实际上的二进制数据被传输通过这个电缆,不是作为一个序列的逻辑1或0来发送的。它具有自同步能力和良好的抗干扰性能。但每一个码元都被调成两个电平,所以数据传输速率只有调制速率的1/2。图1-2曼彻斯特编码56东北大学继续教育学院毕业设计(论文

8、)下面是一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。