midi音乐播放器的设计毕业论文

midi音乐播放器的设计毕业论文

ID:751393

大小:2.07 MB

页数:49页

时间:2017-09-03

midi音乐播放器的设计毕业论文_第1页
midi音乐播放器的设计毕业论文_第2页
midi音乐播放器的设计毕业论文_第3页
midi音乐播放器的设计毕业论文_第4页
midi音乐播放器的设计毕业论文_第5页
资源描述:

《midi音乐播放器的设计毕业论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、MIDI音乐播放器的设计毕业论文目录1绪论11.1选题的意义和背景11.1.1选题背景11.1.2国内外现状21.1.3应用和发展趋势31.2研究的基本内容41.2.1编程模块的设计41.2.2外围电路的设计42总体设计概述52.1MAXII系列CPLD52.2总体设计思路52.1.1总体设计框图与设计思路52.2.2编程模块设计思路62.2.3外围电路设计思路63MIDI音乐播放器芯片设计83.1工作原理83.2模块设计93.2.1音乐编码器模块设计93.2.2音调发生模块设计93.2.3彩灯闪烁控制模块的设计123.2.4开关选择模块设计133.2.5顶层模块设计133.3歌曲选择134外

2、围电路的设计144.1时钟电路144.1.1音乐节奏时钟144.1.2彩灯闪烁节奏时钟产生电路14474.2音频功率放大电路164.3音乐选择开关与彩灯闪烁控制电路175芯片制作及系统调试185.1VHDL程序下载185.1.1程序仿真图185.2系统调试205.2.1彩灯控制时钟的调试205.2.2音频功率放大的调试20结论22参考文献23附录1总电路图24附录2系统实物图25附录3VHDL源代码26致谢4747天津职业技术师范大学2013届本科生毕业设计1绪论1.1选题的意义和背景电子科学技术在二十世纪后期快速发展,其发展的周期和集成电路和计算机的快速发展有着密不可分的关系,随之而来的专用

3、集成电路的设计的发展难度也在不停的提高和发展过快的矛盾。新的设计方法和使用高层次的设计工具被设计出,来解决这个矛盾,即EDA(ElectronicDesignAutomation即电子设计自动化)技术。EDA技术设计,是以硬件描述语言来描述作为核心的表达方式,其设计工具有大规模的可编程逻辑器件和计算机软件还有实验开发系统。可以使用自动实现软件和一些相关的软件的方法来设计电路,包括可以程序逻辑编译、化简、分割、综合、和优化,还可以完成对特定的芯片进行编译、映射和下载的工作,专用的集成芯片由此而成为一门最新的技术。大规模集成电路技术、CPLD/FPGA技术、集成电路布图设计技术、ASIC测试和封装

4、技术等技术在硬件方面可以和EDA技术结合,由计算机辅助设计CAD和计算机辅助试验CAT还有技术计算机CAM跟各种各样的计算机语言的设计概念结合成为计算机辅助工程,然而,在现代电子设备中,数字信号处理技术,数字系统设计,系统建模和优化技术都是其能够容纳理论。EDA的主要功能是利用计算机实现电路设计自动化,由此基于计算机环境EDA工具的支持是必不可少的而且EDA的技术占领着重要的应用地位。EDA软件应用广泛:PROTEL,ORCAD,PCAD,Viewlogic这些在我国都有应用。功能是非常强大,使用的方法很多是这些软件的一大特色,可以实现大多数的电路设计和仿真软件和PCB自动布局,还可以输出网表

5、文件,能够与其他厂商实现软件数据共享。应用程序和主要的功能可以分为电子电路设计,PCB设计软件,仿真工具,PLD设计工具,IC设计软件和其它EDA软件工具。1.1.1选题背景编程互连矩阵单元CPLD构成可编程逻辑宏单元。其中可编程逻辑宏单元结构部分要复杂一些,其结构是由复杂的I/O单元互连,相应的功能可由用户根据需求生成特定的电路结构实现。设计的逻辑电路由此具有时间可预测性可以避开分段式互连结构时序的不完全预测缺点,因为CPLD内部采用固定长度金属线实现各逻辑块的互连的方式。可编程逻辑宏单元有很多特点:价格大众化比较便宜、成本低、开发的工具比较先进、可灵活编程、集成度高、而且对用户的水平要求不

6、高、不需要测试标准产品、安全系数强,对于大规模电路也可以实现设计,因此经常应用47天津职业技术师范大学2013届本科生毕业设计于产品原型的设计和生产。FPGA和CPLD是基于它的工作原理及结构特点来进行识别和分类的。一般的分类方法为:CPLD是以乘积项结构方式构成的逻辑行为器件,列如Xilinx的XC9500系列、Lattice的ispLSI系列、Altera的MAX7000S系列和Lattice(原Vantis)的Mach系列等。FPGA是以查表法结构方式构成的逻辑行为器件,如Xilinx的、Altera的FLEX10KSPARTAN系列或ACEX1K系列等。FPGA和CPLD是有很多共同特

7、点的可编程ASIC器件,但是由于CPLD和FPGA具有各自特点,因为他们在结构上存在一些差异。①CPLD适合完成各种组合逻辑算法而FPGA适合于实现时序逻辑算法。换句话说,FPGA比较适用于触发器丰富的结构而CPLD比较实用于有限触发器而乘积项丰富的结构。②CPLD的时序延迟是可预测的和均匀是由它的连续式布线结构决定的,而FPGA的不可预测性和延迟是由分段式布线结构决定的。③FPGA比CPLD在编

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。