电子设计自动化课程设计报告- 在实验箱上设计可调时钟

电子设计自动化课程设计报告- 在实验箱上设计可调时钟

ID:6816824

大小:533.00 KB

页数:13页

时间:2018-01-26

电子设计自动化课程设计报告- 在实验箱上设计可调时钟_第1页
电子设计自动化课程设计报告- 在实验箱上设计可调时钟_第2页
电子设计自动化课程设计报告- 在实验箱上设计可调时钟_第3页
电子设计自动化课程设计报告- 在实验箱上设计可调时钟_第4页
电子设计自动化课程设计报告- 在实验箱上设计可调时钟_第5页
资源描述:

《电子设计自动化课程设计报告- 在实验箱上设计可调时钟》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、湖北轻工职业技术学院学号25分数电子设计自动化课程设计报告目录引言3VHDL概述3第一章41.1课题简述41.1.1实训设备41.1.2设计任务41.1.3设计要求41.1.4设计目的42.1实验程序52.1.1时种程序52.1.2分钟程序62.2.3秒钟程序82.2.4扫描程序9第二章122.1原理图122.2实验连线图132.3实验结果13总结1413湖北轻工职业技术学院参考文献15引言VHDL概述第一章1.1课题简述1.1.1实训设备计算机设备系统、EDA实验箱。13湖北轻工职业技术学院1.1.2设计任务在实验箱上设计可调时钟。2.1.1时种程序ibraryi

2、eee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityzyy24isport(clk:instd_logic;r:instd_logic_vector(1downto0);D:instd_logic_vector(3downto0);g23:outstd_logic_vector(3downto0);s23:outstd_logic_vector(3downto0));endzyy24;architectureyangofzyy24issignalone_temp1,one_temp2

3、:std_logic_vector(3downto0);b2.1.2分钟程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityzyy60isport(clk:instd_logic;r:instd_logic_vector(1downto0);13湖北轻工职业技术学院D:instd_logic_vector(3downto0);co:outstd_logic;clock:outstd_logic;g60:outstd_logic_vector(3downto0);s

4、60:outstd_logic_vector(3downto0));endzyy60;architectureyangofzyy60issignalone_temp1,one_temp2:std_logic_vector(3downto0);beginprocess(clk)beginif(r="00")thenone_temp1<="0000";one_temp2<="0000";elsif(r="01")thenone_temp2<=D;elsif(r="10")thenone_temp1<=D;elsif(clk'eventandclk='1')thenif(

5、one_temp1=5andone_temp2=9)thenone_temp1<="0000";one_temp2<="0000";elsif(one_temp2=9)thenone_temp2<="0000";one_temp1<=one_temp1+1;else13湖北轻工职业技术学院one_temp2<=one_temp2+1;endif;endif;endprocess;g60<=one_temp2;s60<=one_temp1;co<='1'when(one_temp1=5andone_temp2=9)else'0';clock<='1'when(one_

6、temp1=5andone_temp2=9)else'0';endyang;2.2.3秒钟程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitym60isport(clk:instd_logic;r:instd_logic;co:outstd_logic;g60:outstd_logic_vector(3downto0);s60:outstd_logic_vector(3downto0));endm60;architectureyangofm60issignalon

7、e_temp1,one_temp2:std_logic_vector(3downto0);beginprocess(clk)13湖北轻工职业技术学院beginif(r='0')thenone_temp1<="0000";one_temp2<="0000";elsif(clk'eventandclk='1')thenif(one_temp1=5andone_temp2=9)thenone_temp1<="0000";one_temp2<="0000";elsif(one_temp2=9)thenone_temp2<="0000";one_temp1<=one_te

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。