脉搏计设计毕业设计

脉搏计设计毕业设计

ID:6728902

大小:183.00 KB

页数:15页

时间:2018-01-23

脉搏计设计毕业设计_第1页
脉搏计设计毕业设计_第2页
脉搏计设计毕业设计_第3页
脉搏计设计毕业设计_第4页
脉搏计设计毕业设计_第5页
资源描述:

《脉搏计设计毕业设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、脉搏计专业班级:电子1035班姓  名:钱晓宏时间:1月1日---1月12日指导教师:毛钢元2007年1月10日脉搏计设计一、设计目的与任务《电子信息工程专业方向》课程设计是一项重要的实践性教育环节,是学生在完成本专业所有课程学习后必须接受的一项结合本专业方向的、系统的、综合的工程训练。在教师指导下,运用工程的方法,通过一个较复杂课题的设计练习,可使学生通过综合的系统设计,熟悉设计过程、设计要求、完成的工作内容和具体的设计方法,掌握必须提交的各项工程文件。其基本目的是:培养理论联系实际的设计思想

2、,训练综合运用电路设计和有关先修课程的理论,结合生产实际分析和解决工程实际问题的能力,巩固,加深和扩展有关电子类方面的知识。通过课程设计,应能加强学生如下能力的培养:(1)独立工作能力和创造力;(2)综合运用专业及基础知识,解决实际工程技术问题的能力;(3)查阅图书资料、产品手册和各种工具书的能力;(4)工程绘图的能力;(5)编写技术报告和编制技术资料的能力;二、基本要求在教师指导下由学生独立完成的,每个学生都应该明确设计任务和要求,并拟定设计计划,注意掌握进度,按时完成,设计分段进行,每一阶段

3、的设计都要认真检查,没有原则错误时才能继续进行下一段设计,以保证设计质量,循序完成设计任务。设计过程中要独立思考,深入钻研,主动地,创造性地进行设计,反复照抄照搬,在设计思想,设计方法和设计技能等方面得到良好的训练。三、脉搏计的概述脉搏计是用来测量人体心脏跳动频率的有效工具。心脏跳动频率通常用每分钟心脏跳动的次数来显示。用数显式脉搏计测量心脏跳动频率,不但精确,而且使用很方便,读数直观,显示结果醒目。四、脉搏计的设计1、分析设计课题要求实现在15秒内测量1min的脉搏数,并且显示其数字。正常人的

4、脉搏次数是60到80次每分钟,婴儿为90到100次每分钟,老人为100到150次每分钟。因此,脉搏计是用来测量低频信号的装置,其基本功能要求是:①用传感器将脉博的跳动转换为电压信号,并加以放大、整形和滤波。②在短时间内(15s内)测出每分钟的脉搏数。总之,脉搏计的核心是在固定的短时间内对低频电脉冲信号计数,最后以数字形式显示出来。由此可得脉搏计的主要组成部分是计数器和数字显示器。2、选择总体方案并比较(1)根据上面的分析有下面两种方案比较好实行。方案I如图1-1所示,传感器放大与整形电路倍频器基

5、准时间产生电路控制电路计数译码显示    图1-1脉搏计方案I  1)传感器:将脉搏跳动信号转换为与此相对应的电脉冲信号。2)放大电路:放大电路是用来将微弱电信号放大,整形电路是用来滤除干扰信号。3)倍频器:将整形后所得到的电脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。4)基准时间产生电路:用来产生短时间的控制信号,以控制测量时间。5)控制电路:用以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、译码,显示电路中。6)计数、译码

6、、显示电路:用来读出脉搏数,并以十进制数的形式由数码管显示出来。方案一中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差比较小。方案Ⅱ如图1-2所示。该方案是首先测出脉搏跳动5次所需的时间,然后再换算为每分钟脉搏跳动的次数,这种测量方法的误差小,可达±1次/min。此方案的传感器、放大与整形、计数、译码、显示电路等部分与方案I完全相同,功能介绍如下:1)    六进制计数器:用来检测六个脉搏信号,产生五个脉冲周期的

7、门控信号。2)      基准脉冲(时间)发生器:产生周期为0.1s的基准脉冲信号。3)      门控电路:控制基准脉冲信号进入8位二进制计数器。4)      8位二进制计数器:对通过门控电路的基准脉冲进行计数,例如5个脉搏周期为5s,即门打开5s的时间,让0.05s周期的基准脉冲信号进入8位二进制计数器,显然计数值为100,反之,由它可相应求出5个脉冲周期的时间。5)  定脉冲数产生电路:产生定脉冲数信号,如6000个脉冲送入可预置8位计数器输入端。6)可预置8位计数器:以8位二进制计数器

8、输出值(如50)作为预置数,对3000个脉冲进行分频,所得的脉冲数(如得到60个脉冲信号),即心率,从而完成计数值换成每分钟的脉搏次数。现在所得的结果即为每分钟的脉搏数。基准时间发生器六进制计数器8位二进制计数器可预置8位计数器门控电路译码显示电路放大与整形传感器定脉冲数产生电路         图1-2脉搏计方案Ⅱ  (2)方案比较方案Ⅰ结构简单,易于实现,但测量精度偏低;方案Ⅱ电路结构复杂,成本高,测量精度较高。根据实际条件,尽量简化电路,降低成本,故选择方案Ⅰ。3、脉搏计的电路组成(1)放

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。