分频器设计——50mhz(含verilog程序)

分频器设计——50mhz(含verilog程序)

ID:6701609

大小:69.00 KB

页数:3页

时间:2018-01-22

分频器设计——50mhz(含verilog程序)_第1页
分频器设计——50mhz(含verilog程序)_第2页
分频器设计——50mhz(含verilog程序)_第3页
资源描述:

《分频器设计——50mhz(含verilog程序)》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、分频器设计一、实验目的1、熟悉分频器的原理;2、掌握采用VerilogHDL语言设计分频器的方法;3、进一步学习利用VerilogHDL语言进行层次设计的方法。二、实验内容1、采用Verilog语言设计一个十分频器,记录Verilog程序;2、对十分频器进行功能仿真,观察仿真波形;3、仿真没有问题后,将分频比改为50000000,实现一个50M分频器。利用此分频器和开发板上的50MHz时钟信号,得到1Hz的秒脉冲信号,完成如图1-2.28所示的秒计数器。程序设计如下:modulefenp(clk_out,clk_in,r

2、eset);outputclk_out;inputclk_in;inputreset;reg[1:0]cnt;regclk_out;always@(posedgeclk_inorposedgereset)beginif(reset)begincnt<=0;clk_out<=0;endelsebeginif(cnt==24999999)beginclk_out<=!clk_out;cnt<=0;endelsecnt<=cnt+1;endendendmodule本程序经验证,完全可以实现实验要求。文章来自某大学EDA实验课

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。