系统设计之频率计

系统设计之频率计

ID:65495306

大小:319.00 KB

页数:15页

时间:2022-01-09

系统设计之频率计_第1页
系统设计之频率计_第2页
系统设计之频率计_第3页
系统设计之频率计_第4页
系统设计之频率计_第5页
系统设计之频率计_第6页
系统设计之频率计_第7页
系统设计之频率计_第8页
系统设计之频率计_第9页
系统设计之频率计_第10页
资源描述:

《系统设计之频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、7.5等精度频率/相位计设计7.5.1主系统组成图7-10频率计主系统电路组成7.5等精度频率/相位计设计7.5.2测频原理图7-11等精度频率计主控结构7.5等精度频率/相位计设计7.5.2测频原理图7-12频率计测控时序7.5等精度频率/相位计设计7.5.2测频原理(7-10)(7-11)7.5.3VHDL测试程序设计(7-12)占空比=7.4直接数字合成器设计【例7-37】LIBRARYIEEE;--等精度频率计FPGA设计部分USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_

2、UNSIGNED.ALL;ENTITYetesterISPORT(BCLK:INSTD_LOGIC;--标准频率时钟信号clock2,50MHZTCLK:INSTD_LOGIC;--待测频率时钟信号CLR:INSTD_LOGIC;--清零和初始化信号CL:INSTD_LOGIC;--当SPUL为高电平时,CL为预置门控信号,用于测频计数--时间控制当SPUL为低电平时,CL为测脉宽控制信号,--CL高电平时测高电平脉宽而当CL为低电平时,测低电平脉宽。SPUL:INSTD_LOGIC;--测频或测脉宽控制START:OUT

3、STD_LOGIC;--起始计数标志信号EEND:OUTSTD_LOGIC;--由低电平变到高电平时指示脉宽计数结束,SEL:INSTD_LOGIC_VECTOR(2DOWNTO0);--数据读出选同控制DATA:OUTSTD_LOGIC_VECTOR(7DOWNTO0));--8位数据读出ENDetester;ARCHITECTUREbehavOFetesterISSIGNALBZQ:STD_LOGIC_VECTOR(31DOWNTO0);--标准计数器SIGNALTSQ:STD_LOGIC_VECTOR(31DOWNT

4、O0);--测频计数器SIGNALENA:STD_LOGIC;--计数使能SIGNALMA,CLK1,CLK2,CLK3:STD_LOGIC;SIGNALQ1,Q2,Q3,BENA,PUL:STD_LOGIC;SIGNALSS:STD_LOGIC_VECTOR(1DOWNTO0);BEGINSTART<=ENA;(接下页)7.4直接数字合成器设计DATA<=BZQ(7DOWNTO0)WHENSEL="000"ELSE--标准频率计数低8位输出BZQ(15DOWNTO8)WHENSEL="001"ELSEBZQ(23DOWN

5、TO16)WHENSEL="010"ELSEBZQ(31DOWNTO24)WHENSEL="011"ELSE--标准频率计数最高8位输出TSQ(7DOWNTO0)WHENSEL="100"ELSE--待测频率计数值最低8位输出TSQ(15DOWNTO8)WHENSEL="101"ELSETSQ(23DOWNTO16)WHENSEL="110"ELSETSQ(31DOWNTO24)WHENSEL="111"ELSE--待测频率计数值最高8位输出TSQ(31DOWNTO24);BZH:PROCESS(BCLK,CLR)--标准

6、频率测试计数器,标准计数器BEGINIFCLR='1'THENBZQ<=(OTHERS=>'0');ELSIFBCLK'EVENTANDBCLK='1'THENIFBENA='1'THENBZQ<=BZQ+1;ENDIF;ENDIF;ENDPROCESS;TF:PROCESS(TCLK,CLR,ENA)--待测频率计数器,测频计数器BEGINIFCLR='1'THENTSQ<=(OTHERS=>'0');ELSIFTCLK'EVENTANDTCLK='1'THENIFENA='1'THENTSQ<=TSQ+1;ENDIF;

7、ENDIF;ENDPROCESS;PROCESS(TCLK,CLR)BEGINIFCLR='1'THENENA<='0';ELSIFTCLK'EVENTANDTCLK='1'THENENA<=CL;ENDIF;(接下页)7.4直接数字合成器设计ENDPROCESS;MA<=(TCLKANDCL)ORNOT(TCLKORCL);--测脉宽逻辑CLK1<=NOTMA;CLK2<=MAANDQ1;CLK3<=NOTCLK2;SS<=Q2&Q3;DD1:PROCESS(CLK1,CLR)BEGINIFCLR='1'THENQ1<=

8、'0';ELSIFCLK1'EVENTANDCLK1='1'THENQ1<='1';ENDIF;ENDPROCESS;DD2:PROCESS(CLK2,CLR)BEGINIFCLR='1'THENQ2<='0';ELSIFCLK2'EVENTANDCLK2='1'THENQ2<='1';ENDIF;EN

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。