基于fpga的简单电子琴设计

基于fpga的简单电子琴设计

ID:6403693

大小:512.50 KB

页数:28页

时间:2018-01-12

基于fpga的简单电子琴设计_第1页
基于fpga的简单电子琴设计_第2页
基于fpga的简单电子琴设计_第3页
基于fpga的简单电子琴设计_第4页
基于fpga的简单电子琴设计_第5页
资源描述:

《基于fpga的简单电子琴设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、.CPLD/FPGA课程设计项目名称基于FPGA的简易电子琴设计专业班级物联网112学生学号学生姓名指导教师2014年6月18日..摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用硬件描述语言VerilogHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐

2、,并且可以即时的播放出来。系统实现是用硬件描述语言VerilogHDL按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于硬件描述语言VerilogHDL在相关的芯片上编程实现的。关键字:电子琴;音调发生;现场可编程逻辑器件FPGA;硬件描述语言VerilogHDL;..AbstractThis system is designed using EDA technology a simple eightnote keyboard, the system clock divi

3、der based on the principle of the computer, using topdown design methodology to implement, it can be controlled through the key input audio. Multifunction keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in g

4、eneral consists of three modules, namely the keyboard sound modules, memory modules and select the control module. Ultra  hardware description language Verilog HDL programming function of each module. Not only can realize the function of playing and playing, it can achieve "repeat" func

5、tion, which can store any piece of music, and realtime play out. System implementation is to use hardware description language Verilog HDL modular way by design, then programming, timing simulation, integration. The system features a relatively complete, there is a certain value. This p

6、aper introduces the overall design of the keyboard system, and based on  hardware description language Verilog HDL  in Xilinx's Spartan II series 2sc200PQ2085 chip programming.Keyword:Keyboard;   tone occurred; field programmable logic device FPGA; ultra  hardware description languageV

7、erilogHDL ; ..目录摘要IAbstractII第1章所选项目的研究意义21.1设计目的21.2设计的基本内容21.3设计方案2第2章FPGA、VerilogHDL语言及QuartusⅡ软件简介22.1FPGA工作原理:22.2VerilogHDL语言及QuartusⅡ软件简介2第3章系统的软件程序设计23.1系统设计的总体思路23.2顶层模块设计方法23.3设计方案23.4程序设计的流程图23.5顶层模块程序及分析2第4章软件仿真24.1程序输入24.2建立波形文件24.3输出波形文件24.4生成RTL封装图2结论2参考文献2附录2项目特

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。