eda课程设计(论文)-数字频率计的设计

eda课程设计(论文)-数字频率计的设计

ID:6367266

大小:3.07 MB

页数:11页

时间:2018-01-11

eda课程设计(论文)-数字频率计的设计_第1页
eda课程设计(论文)-数字频率计的设计_第2页
eda课程设计(论文)-数字频率计的设计_第3页
eda课程设计(论文)-数字频率计的设计_第4页
eda课程设计(论文)-数字频率计的设计_第5页
资源描述:

《eda课程设计(论文)-数字频率计的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、数字频率计的设计EDA论文成绩评定表学院名称:电气工程学院专业班级:自动化0801班学生姓名:学号:指导教师:成绩:2011年11月23日7数字频率计的设计EDA论文专业班级:自动化0801班学生姓名:学号:指导教师:7数字频率计的设计数字频率计的设计摘要:频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量器。频率计最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。本文采用计算机软件MAX-PLUS2进行频率计的设计,测量信号在1s时间的周期数来得到信号的频率。本文所设计的频率计设计思路简单,可操作性强,具有

2、很好的实际意义。关键字:EDA、频率计、信号、仿真7数字频率计的设计目录1引言12设计思路13设计分析23.1测频信号发生器23.2计数器23.3数码管显示24各模块的程序及电路图24.1分频器模块24.2计数器模块34.3七段数码管显示模块45各模块的仿真图55.1分频器模块55.2计数器模块55.3七段数码管显示模块66总结67心得体会78参考文献77数字频率计的设计1引言EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子

3、系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术,其设计的灵活性使得EDA技术得以快速发展和广泛应用。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。本文以Max+PlusⅡ软件为设计平台,运用VHDL语言实现数字频率计的整体设计。2设计思路在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有

4、十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。所谓频率,就是周期性信号在单位时间内的变化次数。频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1s。闸门时间可以根据需要取值,大于或小于1s都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。本文采用

5、1s作为闸门时间,即用计数器在计算1s内输入信号周期的个数,其测频范围为0Hz~999999Hz。待测信号计数器数码管显示测频控制信号发生器图1数字频率计原理框图7数字频率计的设计本数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示:3设计分析3.1测频信号发生器测频控制信号发生器产生测量频率的控制时序,是设计频率计的关键。这里控制信号clk取为1000Hz,分频后要得到一个脉宽为1s的时钟信号q,用来作为计数闸门信号。当q为高电平时开始计数;在q的下降沿时停止计数,并且产生清零信号clear,为下次计数做准

6、备。clear信号是低电频有效。3.2计数器本文所设计的频率计的频率范围是0Hz~999999H,因此计数器采用6个十进制计数器的级联来进行计数。3.3数码管显示显示部分采用七段数码管电路来显示。七段数码管是指由七段LED显示条组成的数码管,可以通过控制每一段LED的亮灭来显示0-9是个数字。4各模块的程序及电路图4.1分频器模块分频器模块的程序如下所示:libraryieee;useieee.std_logic_1164.all;entityfenisport(clk:instd_logic;q:outstd_logic);endfen;architecturef

7、en_arcoffenisbeginprocess(clk)variablecnt:integerrange999downto0;variablex:std_logic;begin7数字频率计的设计ifclk'eventandclk='1'thenifcnt<999thencnt:=cnt+1;elsecnt:=0;x:=notx;endif;endif;q<=x;endprocess;endfen_arc;4.2计数器模块本文采用74160作为十进制计数器。74160是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。