eda课程设计-gsm通讯机的vhdl设计及仿真

eda课程设计-gsm通讯机的vhdl设计及仿真

ID:6364679

大小:841.00 KB

页数:18页

时间:2018-01-11

eda课程设计-gsm通讯机的vhdl设计及仿真_第1页
eda课程设计-gsm通讯机的vhdl设计及仿真_第2页
eda课程设计-gsm通讯机的vhdl设计及仿真_第3页
eda课程设计-gsm通讯机的vhdl设计及仿真_第4页
eda课程设计-gsm通讯机的vhdl设计及仿真_第5页
资源描述:

《eda课程设计-gsm通讯机的vhdl设计及仿真》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、课程设计说明书NO.1GSM通讯机的VHDL设计及仿真1.课程设计的目的EDA技术及应用课程设计是学习EDA技术及应用课程之后的实践教学环节。其目的是训练学生综合运用学过的《EDA技术及应用》的基础知识,通过解决比较简单的实际问题巩固和加深在《EDA技术及应用》课程中所学的理论知识和实验技能。独立完成查找资料,选择方案,设计电路,安装调试,分析结果,撰写报告等工作。使学生进一步理解所学本课程的内容,初步掌握模拟电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生。并理论联系实际提高和培养学生的分析、解决实际问题的能力,创新能力

2、及动手能力,为后续课程的学习、毕业设计、毕业后的工作打下基础。2.设计方案论证2.1软件介绍Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。  Max+plusⅡ开发系统的特点:(1)、开放的界面,Max+plusⅡ

3、支持与Cadence,Exemplarlogic,MentorGraphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。(2)、与结构无关,Max+plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。(3)、完全集成化,Max+plusⅡ的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短

4、开发周期。(4)、丰富的设计库,Max+plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)沈阳大学课程设计说明书NO.2(5)、模块化工具,设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。(6)、硬件描述语言(HDL),Max+plusⅡ软件支持各种HDL设计输入选项,包括VHDL、VerilogHDL和Altera自己的硬件描述语言AHDL。(7)、Opencore特征,Max+plu

5、sⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。2.2设计方案2.2.1实现功能(1)显示系统当前运行状态,由VGA接口输出,在液晶屏上以640*480分辨率显示;(2)实时检测输入设备,对GSM模块进行操控,输入设备采用PS/2接口键盘;(3)通过RS232接口连接GSM模块,采用UART标准进行全双工通信,发送AT命令控制GSM模块,GSM模块返回信息通过UART由系统接收并做出相应处理;(4)支持GSM拨号功能;(5)支持短信收发功能,并可实时查阅、删除已有短信;(6)编辑模式可通过BackSpace键删除误

6、输入;(7)支持来电号码显示,并周期性发出来电铃声;(8)支持新短信显示提示,并发出一声短信提示音;(9)支持中文菜单显示,通过上下箭头按键选择不同菜单项;(10)界面支持字体变色、动态滚动效果。2.2.2系统框图沈阳大学课程设计说明书NO.3FPGA主控制器液晶显示器PS/2接口键盘GSM模块VGAPS/2UART图1GSM系统框图由上图可见,主控制器通过3个接口分别连接了3个硬件,对应不同接口应该独立地进行处理,所以必须对主控制器进行模块划分。3.设计结果与分析模块1:分频功能描述:将50MHz时钟源进行分频,提供给VGA模块(

7、25MHz)和UART模块,其中UART模块为波特率可调,通过改变CNT_RS232的值实现不同的波特率。具体代码:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYFREQUENCYISGENERIC(CNT_RS232:INTEGER:=163;CNT_VGA:INTEGER:=1);PORT(CLK:INSTD_LOGIC;CLK_RS232:OUTSTD_LOGIC;CLK_VGA:OUTSTD_LOGIC);ENDENTITY;ARCHITECTUREFREQUENCY_DIVOFF

8、REQUENCYISBEGIN沈阳大学课程设计说明书NO.4PROCESS(CLK)VARIABLEREG_RS232:STD_LOGIC:='0';VARIABLEREG_VGA:STD_LOGIC:='0';VARIABLETEM

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。