实验7 0809AD转换实验.doc

实验7 0809AD转换实验.doc

ID:61499501

大小:86.00 KB

页数:5页

时间:2021-02-07

实验7   0809AD转换实验.doc_第1页
实验7   0809AD转换实验.doc_第2页
实验7   0809AD转换实验.doc_第3页
实验7   0809AD转换实验.doc_第4页
实验7   0809AD转换实验.doc_第5页
资源描述:

《实验7 0809AD转换实验.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、班级学号姓名成绩教师签字实验七0809A/D转换实验一、实验目的1、了解ADC0809的工作原理。2、了解用扫描方式驱动七段码管显示的工作原理。3、了解时序电路FPGA的实现。4、学习状态机设计二、硬件要求1、计算机1台2、EDA实验箱1台3、导线若干三、实验原理该实验是利用FPGA控制ADC0809的时序,进行AD转换,然后将ADC0809转换后的数据以十六进制的数据显示出来。1、ADC0809的管脚功能ADC0809是8位8通道的逐次比较式AD转换芯片。该芯片管脚如右图所示。芯片引脚及其说明如下:D0-D7(2-8-2

2、-1):8位双先三态数据线。ADDA、ADDB、ADDC:通道选择地址。OUTPUTENABLE:输出允许控制。Clock:ADC转换时钟。Vref+、Vref-:正负参考电压。IN0-IN7:8个模拟信号输入通道。START:AD转换启动信号。EOC:AD转换结束信号。ALE:通道地址锁存信号。2、ADC0809的工作时序:如下图所示。其详细工作过程可查阅其他资料。3、ADC0809工作流程简介首先将要转换的ADC0809的地址输出(略,固定),然后产生ALE信号的,在该信号的上升沿,地址被打入ADC0809的地址锁存器

3、,这样就选中了对应的通道。地址产生结束后,便可发出START信号,使ADC0809开始进行AD转换,需要注意的是,在ADC0809转换期间,输入的模拟信号必须稳定,否则可能出现比较大的误差。在地址锁存并且启动转换后,EOC便会呈现低电平,直到AD转换结束,所以FPGA在EOC从低电平变成高电平之前,不能读取ADC的转换数据。在EOC变成高电平之后,FPGA便可将OE信号拉高,这样ADC转换的数据就会呈现在数据线上,FPGA读入该数据后,在8位七段码管上显示出来,这就是整个实验过程的工作流程。在本实验中利用CycloneEP

4、4CE10生成一个A/D采样控制器模块,产生上图所示的时序,启动ADC0809工作,读入转化数据,并送给LED显示模块显示。其系统原理框图如下图所示:LED显示模块采样控制器模块ADC08098bit转换结果模拟信号时序控制信号8bit转换结果ADC0809通道选择工作时钟采样控制器模块工作时钟显示模块工作时钟时钟发生器系统时钟系统原理框图四、实验连线:1、频率选择单元的CLK1的750KHz的开关档位向右拨,CLK2的375KHz的开关拨向右边。2、将EP4CE10适配板对应实验平台的右下方的JP160的拨动开关拨在左边

5、CLK位置。3、数码管下方的JPLED1开关右拨打开,左边的开关全部上拨。4、将适配板上的JC03插座用20芯排线与实验平台正下方的JC103IDE-AD/DA插座相连接。5、用实验导线将AD/DA实验区中的ADCLK孔和频率输出单元的CLK2孔连接起来,将EP4CE10适配板左下角的JTAG口和USBBlaster下载器连接到电脑上。6、将AD/DA实验区中的INO孔与实验平台右上角模拟电位器的(0-5V)孔相连。7、将拨动开关SW1拨到下端。将IDE-AD/DA插槽下方的JP165拨到左端。五、实验部分VHDL代码:L

6、IBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;---------------ENTITYAD0809ISPORT(CLK,EOC:INSTD_LOGIC;ALE_START,OE,A,B,C:OUTSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);LED_W:OUTSTD_LOGIC_VECTOR(7DOWNTO0);LED_SEL:BUFFERSTD_LOGIC_VECTOR(2DOWNTO0));

7、ENDAD0809;-----------------ARCHITECTUREAOFAD0809ISTYPESTATESIS(S0,S1,S2,S3,S4,S5);--ST0:CBA地址--ST1:锁存ALE,启动START--ST2:等待,EOC=0转ST3--ST3:等待,EOC=1转ST4--ST4:读--ST5:数据锁存LOCKSIGNALC_ST,N_ST:STATES;SIGNALLOCK:STD_LOGIC;SIGNALQ8:STD_LOGIC_VECTOR(7DOWNTO0);SIGNALHEX:STD_L

8、OGIC_VECTOR(3DOWNTO0);BEGIN--进程:准备下一状态,并将状态译码输出--进程:转入下一状态,并SEL计数--进程:在LOCK上升沿将DB锁入Q8--进程:显示AD0809XXXX为转换的数据--进程:将HEX转为LED显示(程序略)ENDA;五、管脚分配硬件资源硬件信号EP4C

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。