多功能信号发生器设计报告.doc

多功能信号发生器设计报告.doc

ID:61497169

大小:169.00 KB

页数:9页

时间:2021-02-06

多功能信号发生器设计报告.doc_第1页
多功能信号发生器设计报告.doc_第2页
多功能信号发生器设计报告.doc_第3页
多功能信号发生器设计报告.doc_第4页
多功能信号发生器设计报告.doc_第5页
资源描述:

《多功能信号发生器设计报告.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、重庆大学城市科技学院电气学院EDA课程设计报告题目:多功能信号发生器专业:电子信息工程班级:2006级03班小组:第12组学号及姓名:20060075蒋春20060071冯志磊20060070冯浩真指导教师:戴琦琦设计日期:2009-6-19多功能信号发生器设计报告一、设计题目运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。二、课题分析(1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且

2、要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。(2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。(3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。三、设计的具体实现1、系统概述系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM模块(data_rom_sin、data_r

3、om_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。2、 单元电路设计与分析外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。(1)分频器(DVF)分频器(DVF)的RTL截图分频器(DVF)的源代码:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYDVFISP

4、ORT(D_MODE:INSTD_LOGIC_VECTOR(3DOWNTO0);D_CLK:INSTD_LOGIC;D_OUT:OUTSTD_LOGIC);ENDDVF;ARCHITECTUREbehavofDVFISSIGNALFULL:STD_LOGIC;BEGINP_REG:PROCESS(D_CLK)VARIABLECNT8:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFD_CLK'EVENTANDD_CLK='1'THENIFCNT8="1111"THENCNT8:=D_MODE;FULL<='1';ELSECNT8:=CNT

5、8+1;FULL<='0';ENDIF;ENDIF;ENDPROCESSP_REG;P_DIV:PROCESS(FULL)VARIABLECNT2:STD_LOGIC;BEGINIFFULL'EVENTANDFULL='1'THENCNT2:=NOTCNT2;IFCNT2='1'THEND_OUT<='1';ELSED_OUT<='0';ENDIF;ENDIF;ENDPROCESSP_DIV;ENDbehav;(2)地址发生器(CNT6B)地址发生器(CNT6B)的RTL截图地址发生器(CNT6B)的源代码:LIBRARYIEEE;USEIEEE.STD_L

6、OGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT6BISPORT(C_CLK,C_RST,C_EN:INSTD_LOGIC;C_OUT:OUTSTD_LOGIC_VECTOR(5DOWNTO0));ENDCNT6B;ARCHITECTUREbehavOFCNT6BISSIGNALCQI:STD_LOGIC_VECTOR(5DOWNTO0);BEGINPROCESS(C_CLK,C_RST,C_EN)BEGINIFC_RST='1'THENCQI<=(OTHERS=>'0');--计数器异步复位ELS

7、IFC_CLK'EVENTANDC_CLK='1'THEN--检测时钟上升沿IFC_EN='1'THENCQI<=CQI+1;ENDIF;ENDIF;ENDPROCESS;C_OUT<=CQI;ENDbehav;(3)四个ROM模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)data_rom_sin正弦波的ROM数据:data_rom_sqr方波的ROM数据:data_rom_tri三角波的ROM数据:data_rom_c锯齿波的ROM数据:ROM模块的源程序(以正弦波为例,其余以此类推):LIBRA

8、RYieee;USEieee.std_logic_1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。