LED显示及秒表计时器设计.doc

LED显示及秒表计时器设计.doc

ID:61443070

大小:121.00 KB

页数:6页

时间:2021-01-31

LED显示及秒表计时器设计.doc_第1页
LED显示及秒表计时器设计.doc_第2页
LED显示及秒表计时器设计.doc_第3页
LED显示及秒表计时器设计.doc_第4页
LED显示及秒表计时器设计.doc_第5页
资源描述:

《LED显示及秒表计时器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、LED秒表计时器实验实验目的:1、掌握QuartusII6.0等EDA工具软件的基本使用;2、熟悉VHDL硬件描述语言编程及其调试方法;3、学习用FPGA控制LED电路实现显示器设计。实验内容:基于LED显示器可以进行电子表、秒表计时器、出租车计价器、频率计等复杂电路系统设计,本实验以秒表计时器为例,继续介绍Quartus编程环境以及VHDL语言与原理图混合编程应用。仅给出设计思路和必要的程序,请大家参照LED译码器实验的步骤完成。本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用VHDL语言描述的在FPGA上实现。它具有开关、计时、时钟和

2、显示功能。计时器的设计功能:(1)精度应大于1/100s(2)计时器的最长计时时间为1小时,在一般的短时间计时应用中,1小时应该足够了。为此需要一个6位显示器,显示最长时间为59分59.99秒。(3)设置复位和启/停开关      复位开关用来使计时器清0。启/停开关的使用方法与传统的机械计时器相同,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关计时终止。复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程应立即终止,并对计时器清零。整体设计方案:   为了便于描述,将整个计时控制芯片分成4个子模块:分频器子模块(fpq

3、),十进制计数器子模块(cnt10),六进制计数器子模块(cnt6),和译码显示子模块(decorder4_7),各模块之间信号连接关系的方框图如下:程序设计:各模块程序及生成的符号文件如下:1、分频器子模块fpq模块设计--本程序实现由4MHz晶振分频得到100Hz计数脉冲信号--分频数计算:/100=40000libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityfpqisgeneric(framle

4、nr:integer:=40000);Port(clk:instd_logic;bclk:outstd_logic);endfpq;architectureBehavioraloffpqisbeginprocess(clk)variablecnt:integer;beginifrising_edge(clk)thenifcnt>=framlenrthencnt:=0;bclk<='0';elsifcnt>=framlenr/2thencnt:=cnt+1;bclk<='1';elsecnt:=cnt+1;bclk<='0';endif;endif;endp

5、rocess;endBehavioral;生成的符号:2、十进制计数器子模块(cnt10).LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt10ISPORT(reset,en,clk:INSTD_LOGIC;carry:OUTSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCNT10;ARCHITECTURErtlOFcnt10ISSIGNALqs:STD_LOGIC_VECTOR(3DOWNTO0);S

6、IGNALca:STD_LOGIC;BEGINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENIF(reset='1')THENqs<="0000";ELSIF(en='1')THENIF(qs="1001")THEN--计数到9qs<="0000";ca<='0';ELSIF(qs="1000")THEN--计数到8qs<=qs+1;ca<='1';ELSEqs<=qs+1;ca<='0';ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(ca)BEGINq<=qs;carry<=caAND

7、en;ENDPROCESS;ENDrtl;生成的符号:仿真波形:1、六进制计数器子模块(cnt6)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL; ENTITYcnt6IS   PORT(reset,en,clk:INSTD_LOGIC;         carry      :OUTSTD_LOGIC;         q          :OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCNT6; ARCHITECTURErtlOFcnt6

8、IS    SIGNALqs:STD_LOGIC_VECTOR(3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。