VHDL程序设计题说课讲解.doc

VHDL程序设计题说课讲解.doc

ID:60779791

大小:238.50 KB

页数:18页

时间:2020-12-18

VHDL程序设计题说课讲解.doc_第1页
VHDL程序设计题说课讲解.doc_第2页
VHDL程序设计题说课讲解.doc_第3页
VHDL程序设计题说课讲解.doc_第4页
VHDL程序设计题说课讲解.doc_第5页
资源描述:

《VHDL程序设计题说课讲解.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、精品好文档,推荐学习交流VHDL程序设计题四、编程题(共50分)1、请补全以下二选一VHDL程序(本题10分)Entitymuxisport(d0,d1,sel:inbit;q:outBIT);(2)endmux;architectureconnectofMUXis(4)signaltmp1,TMP2,tmp3:bit;(6)begincale:blockbegintmp1<=d0andsel;tmp2<=d1and(notsel)tmp3<=tmp1andtmp2;q<=tmp3;(8)endblockcale;endCONN

2、ECT;(10)2、编写一个2输入与门的VHDL程序,请写出库、程序包、实体、构造体相关语句,将端口定义为标准逻辑型数据结构(本题10分)&abyLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;(2)ENTITYnand2ISPORT(a,b:INSTD_LOGIC;(4)y:OUTSTD_LOGIC);(6)仅供学习与交流,如有侵权请联系网站删除谢谢1精品好文档,推荐学习交流ENDnand2;ARCHITECTUREnand2_1OFnand2IS(8)BEGINy<=aNANDb;--与y<=N

3、OT(aANDb);等价(10)ENDnand2_1;3、根据下表填写完成一个3-8线译码器的VHDL程序(16分)。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYdecoder_3_to_8ISPORT(a,b,c,g1,g2a,g2b:INSTD_LOGIC;y:OUTSTD_LOGIC_VECTOR(7DOWNTO0));(2)ENDdecoder_3_to_8;ARCHITECTURErtlOFdecoder_3_to_8ISSIGNALindata:STD_LOGIC_VEC

4、TOR(2DOWNTO0);(4)BEGINindata<=c&b&a;(6)PROCESS(indata,g1,g2a,g2b)BEGINIF(g1='1'ANDg2a='0'ANDg2b='0')THEN(8)CASEindataISWHEN"000"=>y<="11111110";WHEN"001"=>y<="11111101";WHEN"010"=>y<="11111011";(10)WHEN"011"=>y<="11110111";WHEN"100"=>y<="11101111";WHEN"101"=>y<="1101

5、1111";WHEN"110"=>y<="10111111";(12)WHEN"111"=>y<="01111111";WHENOTHERS=>y<="XXXXXXXX";ENDCASE;ELSEy<="11111111";(14)仅供学习与交流,如有侵权请联系网站删除谢谢1精品好文档,推荐学习交流ENDIF;ENDPROCESS;(16)ENDrtl;4、三态门电原理图如右图所示,真值表如左图所示,请完成其VHDL程序构造体部分。(本题14分)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENT

6、ITYtri_gateISPORT(din,en:INSTD_LOGIC;dout:OUTSTD_LOGIC);仅供学习与交流,如有侵权请联系网站删除谢谢1精品好文档,推荐学习交流ENDtri_gate;ARCHITECTUREzasOFtri_gateISBEGINPROCESS(din,en)BEGINIF(en=‘1')THENdout<=din;ELSEdout<=‘Z’;ENDIF;ENDPROCESS;ENDzas;四、编程题(共50分)1、根据一下四选一程序的结构体部分,完成实体程序部分(本题8分)entityMU

7、X4isport((2)s:instd_logic_vector(1downto0);(4)d:instd_logic_vector(3downto0);(6)y:outstd_logic(8));endMUX4;architecturebehaveofMUX4isbeginprocess(s)beginif(s="00")theny<=d(0);elsif(s="01")theny<=d(1);elsif(s="10")theny<=d(2);elsif(s="11")theny<=d(3);elsenull;仅供学习与交流,

8、如有侵权请联系网站删除谢谢1精品好文档,推荐学习交流endif;endprocess;endbehave;2、编写一个数值比较器VHDL程序的进程(不必写整个结构框架),要求使能信号g低电平时比较器开始工作,输入信号p=q,输出equ为‘0’,否则为‘1’。(本

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。