学术汇报模板ppt课件.ppt

学术汇报模板ppt课件.ppt

ID:59471978

大小:13.67 MB

页数:26页

时间:2020-09-14

学术汇报模板ppt课件.ppt_第1页
学术汇报模板ppt课件.ppt_第2页
学术汇报模板ppt课件.ppt_第3页
学术汇报模板ppt课件.ppt_第4页
学术汇报模板ppt课件.ppt_第5页
资源描述:

《学术汇报模板ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、线性调频信号源的实现技术研究2013届电子信息工程-信处毕业设计中期检查成员:xx导师:xx目录content选题意义1原理分析2系统软件设计3FPGA的设计仿真4硬件调试5致谢6Page04071422261选题的意义1什么是线性调频信号源?非平稳信号在自然界和现实生活中广泛存在,载波调制信号调制对接收信号脉冲压缩增加发射信号带宽已调波LFM线性调频信号(LinearFrequencyModulation)一种典型的非平稳信号,在通信、军事、气象、地质勘测和生物医学等众多领域得到广泛应用和发展

2、2五十至七十年代单脉冲雷达脉冲压缩雷达相控阵雷达…..等等历史发展概况研究背景上世纪30年代雷达技术产生随着我国现代无线通信事业的发展,雷达系统对线性调频信号源提出了更高的要求。3第二次世界大战期间大量应用于军事而广泛发展LFM技术的国内外研究状况如何?目前,国内外对宽带线性调频信号的产生技术和信号源的适用化研究方兴未艾。主流研究方法国内:西安电子科技大学、国防科大、北京理工大学、南京理工大学、电子部10所、14所、29所等国内研究所机构正在从事宽带线性调频信号产生的研究。国外:在上世界九十年代

3、已在宽带雷达中应用了很多种宽带线性调频信号源,国内也有不少单位在进行类似信号源的研制。波形存储直读法4它解决了什么问题?获得较远的作用距离较高的距离分辨率大大简化信号处理系统5即使回波信号有较大的多普勒频移,仍能用同一个匹配滤波器完成脉冲压缩1选题的意义2原理分析6线性调频信号(LinerFrequencyModulation,LFM)7线性调频信号的主要应用:常见的包括声纳、雷达、多普勒效应。为了能够测量长距离又保留时间的分辨率,雷达需要短时间的脉冲波但是又要持续的发射信号,线性调频可以同时保

4、留连续信号和脉冲的特信,因此被应用在雷达和声纳探测上。线性调频(Chirp)信号是指频率随时间而线性改变(增加或减少)的信号。线性调频信号(LinerFrequencyModulation,LFM)81选题的意义2原理析3系统软件设计分9线性调频信号源设计方法仿真框图10MATLAB仿真波形及结果11f0=2MHz,T=10µs,B=3MHz的LFM信号f0=2MHz,T=10µs,B=30MHz的LFM信号1选题的意义2原理分析3系统软件设计4PGA设计F12基于FPGA的设计框图将Matla

5、b编程产生的信号的A/D转换数据存放在ROM中。系统工作,即en=1时,ROM给系统提供相位数据。触发器模块DFF触发,fsin_out,fcos_out的值赋给DFF,将接收到的信号的数据进行频率调制13123整体设计框图14A/D转换数据存放模块15端口名描述address[8..0]地址输入,宽度为9clock输入时钟,用于地址锁存q[15..0]输出数据,宽度为16功能介绍clk的上升沿触发时,ROM从MIF文件表格中读一个数据,当下一个clk的上升沿到来时,ROM又从MIF读下一个数据

6、…依次循环,读入的数据移到输出的数据q[15..0],通过输出端将事先准备好的相位信号数据传给后端设备。分频器模块16端口名描述clk输入型,待分频的时钟en输入型,使能控制端,高电平有效clk_out输出型,分频后的时钟将输入的时钟作为计数器的计数脉冲,计数结果的第N位是2的N次幂分频。将相应的位数取出就可得到所要分的频数。本设计采用3位二进制分频器,将外部时钟频率进行八分频。GENERIC(DWIDTH:INTEGER:=3);PORT(CLK:INSTD_LOGIC;EN:INSTD_LO

7、GIC;CLK_OUT:OUTSTD_LOGIC);…PROCESS(CLK)BEGINIFEN='1'THENIFCLK'EVENTANDCLK='1'THENTEMP<=TEMP+'1';……CLK_OUT<=TEMP(DWIDTH-1);NCO模块17设计步骤:1)启动NCOIPcore产生工具,由菜单tools>MegaWizardPlug2InManager>SignalProcessing>SignalGeneration,选择NCOv9.0即可。2)参数选择,比如选择基于查找表的N

8、CO,累加器精度为32位,时钟频100MHz。3)利用该NCOIP核工具自动生成硬件描述语言Verilog程序;生成的Verilog语言程序是对NCO的硬件描述。4)对该NCO的电路进行前(功能)仿真,以便观察是否符合要求,避免下载后出现问题再回到前端调试造成的麻烦。系统的功能及时序仿真181选题的意义2原理分析3系统软件设计4PGA设计F5硬件调试19调试方案201)用系统时钟产生频率为48MHz的方波信号,将所产生的信号接入到实验箱上FPGA芯片的PIN_28处。2)用QUARTUSII内嵌

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。