quartus_II教程以及操作基本方法ppt课件.ppt

quartus_II教程以及操作基本方法ppt课件.ppt

ID:59415242

大小:4.23 MB

页数:54页

时间:2020-09-19

quartus_II教程以及操作基本方法ppt课件.ppt_第1页
quartus_II教程以及操作基本方法ppt课件.ppt_第2页
quartus_II教程以及操作基本方法ppt课件.ppt_第3页
quartus_II教程以及操作基本方法ppt课件.ppt_第4页
quartus_II教程以及操作基本方法ppt课件.ppt_第5页
资源描述:

《quartus_II教程以及操作基本方法ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、DE2介绍FPGA•CycloneIIEP2C35F672C6FPGAandEPCS16serialconfigurationdeviceI/ODevices•Built-inUSBBlasterforFPGAconfiguration•10/100Ethernet,RS-232,Infraredport•VideoOut(VGA10-bitDAC)•VideoIn(NTSC/PAL/Multi-format)•USB2.0(typeAandtypeB)•PS/2mouseorkeyboardport•Li

2、ne-in,Line-out,microphone-in(24-bitaudioCODEC)•Expansionheaders(76signalpins)Memory•8-MBSDRAM,512-KBSRAM,4-MBFlash•SDmemorycardslotSwitches,LEDs,Displays,andClocks•18toggleswitches•4debouncedpushbuttonswitches•18redLEDs,9greenLEDs•Eight7-segmentdisplays•16

3、x2LCDdisplay•27-MHzand50-MHzoscillators,externalSMAclockinput实验一1位全加器的设计一位全加器的原理分析一位全加器可由两个一位半加器与一个或门构成,其原理图如下图。该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块和ALTERA提供的二输入或门组成全加器电路;最后将全加器电路编译下载到实验箱,输入是两个加数:ain,bin,一个进位:cin这三个输入数据是1位(1bit),可由DE2的SW0,SW1,SW2

4、提供为了显示更加清楚,可以将ain,bin,cin的输出引出到DE2上的红色发光二极管显示,可选用LEDR0,LEDR1,LEDR2.输出是:和:sum进位:cout输出可由DE2的绿色发光二极管显示,可选用LEDG0,LEDG1步骤一:建立full_adder的工程(project)新建立full_adder工程(project)设置project相关参数设置project放置的位置及其名称,随后按Next继续添加文件到工程(project)中,在无相关文件需要添加的情况下,按Next继续选择FPGA目标

5、器件,根据DE2的平台情况,选择cycloneII系列的EP2C35F672C6,继续设置综合,仿真,时序分析软件,在此可以捆绑第三方工具,本次实验,选用软件自带的工具,按Next继续设置参数的总结,按Finish完成project的建立。步骤二:设计half_adder的VHDL模块由File->new,可打开下面的选项,选择VHDLFile,按OK继续在打开的空白文档,编辑半加器的VHDL描述,并存盘验证half_adder.vhd的正确与否,进行仿真,一般先进行功能仿真,再进行时序仿真。为了仿真,首先

6、编辑测试文件。本次实验由于系统简单,采用对输入信号进行输入波形编辑的方法得到激励文件激励文件生成:由file->new,打开如下选项框,选择OtherFiles中的VectorWaveformFile:由Edit->endtime,打开如下对话框,选择仿真时间区域,这次实验选择1us:将波形文件存盘为half_adder.vwf:将模块的端口信号选入波形编辑框中。打开view->utilitywindows->nodefinder将打开的窗口中选择出现在设计中的所有端口,用鼠标拖至波形编辑窗口:编辑波形文件

7、并存盘:进行功能仿真设置仿真器进行功能仿真:Assignments->setting,选择simulationsetting,在simulationmode中选择functional在对话框中的simulationinput中选择half_adder.vwf,指定激励文件由Processinggeneratfunctionalsimulationnetlist得到功能仿真的网表文件由Processingstartsimulation得到功能仿真波形。进行时序仿真改变仿真器的设置,Assignments-

8、>setting选择仿真器设置,更改仿真模式,选择timing:由ProcessingstartCompilation对设计进行编译由Processingstartsimulation得到时序仿真波形。验证half_adder.vhd设计正确后,将half_adder封装成一个模块,供后续的原理图调用:步骤三:编辑全加器的原理图:由file->new,打开原理图文件,并存盘为full_adder.bdf参考教

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。