等精度频率计.doc

等精度频率计.doc

ID:59136644

大小:538.00 KB

页数:13页

时间:2020-09-12

等精度频率计.doc_第1页
等精度频率计.doc_第2页
等精度频率计.doc_第3页
等精度频率计.doc_第4页
等精度频率计.doc_第5页
资源描述:

《等精度频率计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、2013-2014学年第2学期数字系统设计实践(课号:103D47A)实验报告实验名称:等精度频率计学院信息科学与工程学院班级电气自动化2班组别A20成员罗静娜、陈壮豪姓名陈壮豪学号指导教师李宏完成时间2015年6月8日星期四目录一、实验任务与要求41.1测量信号:方波;41.2幅值:TTL电平;41.3频率:100HZ~10MHZ;41.4测量误差小于0.1%;41.5闸门信号:~0.1s;响应时间:~1s4二、实验设计42.1电路模型:42.2等精度频率计设计原理52.2等精度频率计设计思路及其参数选择:63.1闸门信号产生模块:73.2寄存器模块:

2、83.3频率显示切换模块:93.4频率的计算:103.5频率显示前的数据处理模块:113.6顶层电路图:123.7管脚分配图:13四、实验结果144.1数据记录:144.2实验结果分析:14一、实验任务与要求1.1测量信号:方波;1.2幅值:TTL电平;1.3频率:100HZ~10MHZ;1.4测量误差小于0.1%;1.5闸门信号:~0.1s;响应时间:~1s二、实验设计2.1电路模型:2.2等精度频率计设计原理1.频率计算:2.测量误差计算:考虑No最大误差为:1,则与被测频率无关,故也称:等精度频率测量方法2.2等精度频率计设计思路及其参数选择:系统

3、时钟频率选择50khz;测量频率范围为100HZ至10MHZ;闸门信号约为0.1s,最长响应时间约为1s,测量误差为1/()<0.1%。满足设计要求。输出频率的整数部分和小数显示通过按钮来切换。其中最大时为8位整数,3位小数。3.1闸门信号产生模块:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityKeyisport(cp:instd_logic;output:outstd_logic);endKe

4、y;architecturedataofKeyissignaliq:unsigned(12downto0);signaliq1:std_logic;beginprocess(cp,iq,iq1)beginif(cp'eventandcp='1')thenif(iq=4999)theniq1<=notiq1;iq<=('0','0','0','0','0','0','0','0','0','0','0','0','0');elseiq<=iq+1;endif;endif;output<=iq1;endprocess;enddata;3.2寄存器模块:lib

5、raryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysaveisport(clk:instd_logic;intt:instd_logic_vector(19downto0);outt:outstd_logic_vector(19downto0));endsave;architectureaofsaveissignaltemp:std_logic_vector(19downto0);beginprocess(clk,intt)beginif(clk'eventa

6、ndclk='1')thentemp<=intt;endif;outt<=temp;endprocess;enda;3.3频率显示切换模块:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitySeleteisport(input1:instd_logic_vector(3downto0);input2:instd_logic_vector(3downto0);input3:instd_logic_ve

7、ctor(3downto0);input4:instd_logic_vector(3downto0);input5:instd_logic_vector(3downto0);input6:instd_logic_vector(3downto0);input7:instd_logic_vector(3downto0);input8:instd_logic_vector(3downto0);input9:instd_logic_vector(3downto0);input10:instd_logic_vector(3downto0);input11:inst

8、d_logic_vector(3downto0);selete:instd_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。