总结FPGA软件用法.pdf

总结FPGA软件用法.pdf

ID:58931929

大小:5.61 MB

页数:23页

时间:2020-09-18

总结FPGA软件用法.pdf_第1页
总结FPGA软件用法.pdf_第2页
总结FPGA软件用法.pdf_第3页
总结FPGA软件用法.pdf_第4页
总结FPGA软件用法.pdf_第5页
资源描述:

《总结FPGA软件用法.pdf》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、.总结FPGA软件用法一.Modelsim最近一直没有弄明白FPGA的什么是前仿真和后仿真。经过这几天的折磨终于弄明白了。所谓的前仿真也就是功能仿真,它所实现的是只是对功能进行验证。而对于代码在FPGA运行时候所出现的线延时或者出现毛刺的时候代码能否实现设计功能是无法验证的。这些都需要在FPGA的后仿真进行。Modelsim前仿真的基本步骤是:1、打开Modelsim6.5SE选择File->New->Project如图1所示图12、弹出下面的对话框图2ProjectName输入工程的名称,尽量与模块的名称相同(因为Quartusi

2、i要求工程名与实体名相同,所以培养成这种习惯)3、选择CreateNewFile图34、弹出如下图所示的对话框..4、编写Verilog被测试和测试代码并保存。5、在Project对话框中,选择任意的文件点击右键选择Compile->CompileAll如下图所示6、编译完以后再Layout选择Simulate在Library选择测试文件然后点击Simulate如下图所示7、点击View->wave,将需要观察的信号拖入wave对话框中,如下图所示..8、点击Run就可以观察输出波形,如果没有看见可以点击输出的信号充满整个屏幕。Mo

3、delsim6.5Se的前仿真的操作步骤就到此结束了二.关于Quatrusii与modelsim的联合仿真(前仿真与后仿真)1.前仿真前仿真和后仿真区别在于前仿真属于功能仿真只需要XXX.v(被测的文件)和XXX_test.v(测试文件)两个文件。后仿真还需要加入一个关于硬件的文件,(该文件根据所选择的硬件的型号来定)例如选择的是cyclone系列的芯片所以在C:altera90sp2quartusedasim_lib中选择cyclone_atoms.v文件。..关于Quatrusii与modelsim联合仿真的步骤如下:

4、1、打开Quatrusii新建立一个工程如sftreg。2、进入菜单栏的Assignments–>选择EDAToolSettings,其设置如下图所示:3、点击重新编译后,在工程目录中将会多了simulation文件夹。然后将cyclone_atoms.v(C:altera90sp2quartusedasim_lib)和被测试文件(如sftreg.v)拷贝在simulation/modelsim文件夹下面,以便于后仿真使用。..注意同时前仿真的时候还要把测试文件***test.v文件拷到工程目录下。如下所示:..前仿真:打

5、开modelsim在Library对话框下点击File->Changedirectory弹出对话框下图所示:将路径设置为工程所在文件夹,如下图所示:..在Library的窗口下,讲原来的work文件删除,如下图所示:..然后再空白处点击右键,选择New->Library..然后点击Compile->compile,弹出下面的对话框,选择测试和被测试的文件,如下图所示编译完成后点击work选择测试文件,然后点击右键选择Simulation,如下图所示:..弹出如下图,将需要观察测的信号拖入波形窗口中在波形窗口中点击Run就可以看到波形

6、输出了..综合后功能仿真的步骤:进行综合后功能仿真需要的输入文件:在QuartusII里面生成的网表文件.vo;TestBench文件;仿真原型文件(在这时就添加进来)或预编译的库文件(仿真时指定)1、打开modelsim在Library对话框下点击File->Changedirectory弹出对话框下图所示:..4、路径设置如下图,路径一定要设置在工程文件simulationmodelsim..5、在Library的空白处点击右键,选择New->Library,新建一个work_test6、然后点击Compile->compi

7、le,弹出下面的对话框,选择能选择的文件(被测试文件和测试文件都要编译还有网表文件.vo),如下图所示,注意Library对话框中一定要选择你所建立的文件夹,例如这里选择了work_test.7、编译完成后,在Library的面板中点开work_test选择测试文件,点击右键弹出如下图,将需要观察测的信号拖入波形窗口中..在波形窗口中点击Run就可以看到波形输出了..前仿真可以直接用modelsim进行仿真,后仿真就需要Quartusii和modelsim进行联合仿真。到此为关于FPGA的相关软件的基本操作就结束了!布局布线后仿真后

8、仿是在前仿的基础上进行的,只是借用QuartusII生成几个文件(网标文件.vo,反标注文件.sdo)添加到Modelsim里,然后再添加Alterad的库就OK了。首先,用Quartus建立一个工程,把刚才的add.v作为顶层模块,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。