基于vhdl和multisim的病房呼叫系统数电课设.doc

基于vhdl和multisim的病房呼叫系统数电课设.doc

ID:58876770

大小:673.00 KB

页数:18页

时间:2020-09-21

基于vhdl和multisim的病房呼叫系统数电课设.doc_第1页
基于vhdl和multisim的病房呼叫系统数电课设.doc_第2页
基于vhdl和multisim的病房呼叫系统数电课设.doc_第3页
基于vhdl和multisim的病房呼叫系统数电课设.doc_第4页
基于vhdl和multisim的病房呼叫系统数电课设.doc_第5页
资源描述:

《基于vhdl和multisim的病房呼叫系统数电课设.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、..成绩评定表学生xxx班级学号13030602xx专业通信工程课程设计题目病房呼叫系统设计与实现评语组长签字:成绩日期2015年月日.....课程设计任务书学院信息科学与工程学院专业通信工程学生xxx班级学号13030602xx课程设计题目病房呼叫系统设计与实现实践教学要求与任务:1、了解数字系统设计方法2、熟悉掌握VHDL语言及其仿真环境、下载方法3、熟悉Multisim环境4、设计实现病房呼叫系统工作计划与进度安排:第15周熟悉设计任务、查阅资料、进行原理分析及可行性论证第16周在QuartusⅡ

2、环境中用VHDL语言设计实现病房呼叫系统的程序,在QuartusII环境中实现、下载、调试,在Multisim环境中仿真设计实现病房呼叫系统,最后通过验收、答辩、提交报告。指导教师:2015年月日专业负责人:2015年月日学院教学副院长:2015年月日.....摘要在医院能够最好的为病人服务是很重要的,但是护士不能总是在每一位病人身边。所以,病房呼叫系统对一个服务好的医院来说是很必要的。本课设采用两种方式,其一,基于VHDL的病房呼叫系统设计实现病房呼叫系统的仿真波形图。其二,基于multisim的病房

3、呼叫系统设计与实现,主要通过8/3线优先编码器74LS148、74LS47DBCD-七段译码器、按键控制、数码管显示、蜂鸣器报警和指示灯组成。使得病人可以及时的通知医生,并且医生也能够很快的知道是哪个病房、哪个床位的病人在求助。关键词:译码器;编码器;蜂鸣器报警;数码管显示.....目录一、课程设计目的1二、课设题目实现框图1三.实现过程23.1设计原理23.2基于multisim的病房呼叫系统设计33.2.1指示灯显示模块33.2.2蜂鸣器警示模块43.2.3病房按键输入模块43.2.4数码管显示模块

4、53.2.5总电路模块53.3基于VHDL的病房呼叫系统设计6四、课设结果分析114.1基于multisim的病房呼叫系统结果分析114.2基于vhdl的病房呼叫系统结果分析13五、总结13六、参考文献14.....一、课程设计目的病房呼叫系统是一种应用于医院病房、养老院等地方的专用呼叫系统,用来联系沟通医护人员和病员。当病员需要医护人员帮助时,可以通过病房呼叫请求。它的应用一方面为医院或养老院提高护理水平和护士的工作效率,减轻护理人员的劳动强度;另一方面也为病员提供了方便,提高病员的舒适程度。病房呼叫

5、系统的应用还能规医院中病区或养老院的秩序,改善护理人员和病员的关系,是现代化医院必备的辅助设备。作为一种多功能智能化的病房护理辅助设备,病房呼系统不同于专业医疗设备。病房呼叫系统不是直接采用物理或化学医疗手段使被护理人得到治疗,它是直接医疗手段之外的另一种医疗辅助手段。对病员来说,直接的医疗是必不可少的,但是缺乏细致的护理关怀对康复是很不利的。病房呼叫系统就能在这方面起到巨大的作用。二、课设题目实现框图电路设计共分为四个模块:(1)病房按键输入模块(2)指示灯显示模块(3)蜂鸣器警示模块(4)数码管显示

6、模块电路设计流程图:.....图2.1电路设计流程图三.实现过程3.1设计原理multisim电路设计中采用了8/3线优先编码器74LS148、74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47DBCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路中与门74LS08DD的输出端(3、6、

7、8)与74LS147DBCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。.....病房呼叫系统仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。K1~K7为病房呼叫开关,在其下方的Key=1。。。Key=7分别表示按下键盘1~7数字I键,即可控制相应开关的通道。L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级

8、别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。同时护士值班室的数码管即显示相对最高优先级别的病房号,而且蜂鸣器SP会令计算机上的扬声器发声。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。3.2基于mu

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。