实验七 原理图输入法设计频率计

实验七 原理图输入法设计频率计

ID:5884962

大小:156.50 KB

页数:4页

时间:2017-12-27

实验七 原理图输入法设计频率计_第1页
实验七 原理图输入法设计频率计_第2页
实验七 原理图输入法设计频率计_第3页
实验七 原理图输入法设计频率计_第4页
资源描述:

《实验七 原理图输入法设计频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验七原理图输入法设计频率计姓名:庞啟明学号:1112120110专业:自动化一、实验目的熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。完成8位十进制频率机的设计。二、实验原理利用4.5.2节介绍的2位计数器模块,连接它们的计数进位,用4个计数模块就能完成一个8位有时钟使能的计数器;对于测频控制器的控制信号,在仿真过程中应该注意它们可能的毛刺现象。最后按照4.5.2节中的设计流程和方法即可完成全部设计。三、实验设备与软件平台实验设备:计算机、FPGA硬件平台是Cyclone系列FPGA软件平

2、台:QuartusII9.1(32-Bit)、5E+系统四、实验内容首先完成2位频率计的设计,然后进行硬件测试,建议选择电路模式2;数码2和1显示输出频率值,待测频率F_IN接clock0;测频控制时钟CLK接clock2,若选择clock2=8Hz,门控信号CNT_EN的脉宽恰好为1秒。然后建立一个新的原理图设计层次,在此基础上将其扩展为8位频率计,仿真测试该频率计待测信号的最高频率,并与硬件实测的结果进行比较。五、实验步骤设计流程:1、为本项工程设计建立文件夹2、建立原理图文件工程和仿真3、将设计项目设置成可调用的元件4、设计全加器顶层文件3

3、、将设计项目进行时序仿真功能仿真截图:含有时钟使能的2位十进制计数器原理图2位十进制计数器工作时序仿真图测频时序控制电路原理图测频时序控制电路工作时序仿真图频率计顶层电路原理图频率计工作时序波形时序仿真图管脚锁定截图六、实验结果及分析根据测频电路原理图,不难算出测频显示应该为“39”这个结果与测频时序控制电路工作时序仿真图中给出的数值完全一致。由频率计工作时序波形时序仿真图可见,测频计数器中的计数值q[3..0]和q[7..4]随着F-IN脉冲的输入而不断发生变化,但由于74374的锁存功能,两个74248输出的测频结果L[6..0]和H[6..

4、0]始终分别稳定在“6F”和“4F”上。通过7段显示数码管,此二数将分别被译码显示为3和9。六、心得体会通过这次实验,我学会了计数器的设计、频率计主结构电路的设计、时序控制电路的设计以及顶层电路的设计。掌握了复杂的原理图层次化设计技术和数字系统设计方法,也成功完成8位十进制频率计的设计。电子自动化设计既需要动手也需要动脑,只有把原理搞懂了,同时注重操作时的细节,这样设计才可能顺利完成。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。