计组-微程序控制器设计报告.docx

计组-微程序控制器设计报告.docx

ID:58828635

大小:369.27 KB

页数:10页

时间:2020-09-24

计组-微程序控制器设计报告.docx_第1页
计组-微程序控制器设计报告.docx_第2页
计组-微程序控制器设计报告.docx_第3页
计组-微程序控制器设计报告.docx_第4页
计组-微程序控制器设计报告.docx_第5页
资源描述:

《计组-微程序控制器设计报告.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验10微程序控制器设计实验报告姓名:XXX学号:XXX专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无实验时间:实验地点:指导老师:XXX一、实验目的和要求1.掌握微程序控制原理2.掌握微程序控制器的设计方法二、实验内容和原理实验内容:1.设计一个微程序控制单元并进行仿真实验原理:1.微程序的原理:l在多时钟CPU设计的实验中,所有的控制信号均根据操作码以及所处的时钟周期,由组合逻辑的方式产生各个状态下的控制信号。l微程序是将CPU每条指令和所有时钟的控制信号,预先以二进制形式写在控制存储器中。l多时钟周期的每个状态都对

2、应一组控制信号。l每条指令都有若干个状态对应若干组控制信号。l微指令按一定顺序存放在控制存储器中。1.工作原理图2.微程序控制逻辑图1.微指令及其控制码2.控制存储器内容三、主要仪器设备1.Spartan-III开发板1套2.装有ISE的PC机1台四、操作方法与实验步骤实验步骤:1.创建新的工程和新的源文件2.编写verilog代码(包括以下模块top,MicroCtrl,pbdebounce,time_1ms)3.对程序进行波形仿真4.编写UCF引脚文件,通过编译。5..生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功

3、能实验代码:Top.vmoduletop(inputsystem_clk,inputclk_i,inputrst_i,output[3:0]anode4,output[7:0]segment4,outputreg[7:0]led);wireclk;wirerst;reg[2:0]pc;reg[5:0]opcode;wire[17:0]rom_out;pbdebouncep0(system_clk,clk_i,clk);pbdebouncep1(system_clk,rst_i,rst);initialbeginpc=0;endalw

4、ays@(posedgeclkorposedgerst)beginif(rst==1)pc=0;elseif(rom_out[1:0]==0)pc=pc+1;endalways@*begincase(pc)0:opcode=6'b;//R-type1:opcode=6'b;//LW2:opcode=6'b;//SW3:opcode=6'b;//BEQ4:opcode=6'b;//Jdefault:opcode=6'b;endcaseendMicroCtrlMicroCtrl(clk,rst,opcode,rom_out);displ

5、aydisplay(system_clk,rom_out[17:2],anode4,segment4);always@*beginled[1:0]=rom_out[1:0];led[2]=0;case(pc)0:led[7:3]=5'b10000;//R-type1:led[7:3]=5'b01000;//LW2:led[7:3]=5'b00100;//SW3:led[7:3]=5'b00010;//BEQ4:led[7:3]=5'b00001;//Jdefault:led[7:3]=5'b11111;endcaseendendmo

6、duleMircoCtrl.vmoduleMicroCtrl(inputclk,inputrst,input[5:0]opcode,outputreg[17:0]rom_out);reg[3:0]mpc;initialbeginmpc=0;endalways@*begincase(mpc)0:rom_out={16'h0851,2'b11};1:rom_out={16'h1800,2'b01};2:rom_out={16'h3000,2'b10};3:rom_out={16'h00C0,2'b11};4:rom_out={16'h0

7、300,2'b00};5:rom_out={16'h00A0,2'b00};6:rom_out={16'hA000,2'b11};7:rom_out={16'h0500,2'b00};8:rom_out={16'h6006,2'b00};9:rom_out={16'h0009,2'b00};default:rom_out=0;endcaseendalways@(posedgeclkorposedgerst)beginif(rst==1)mpc=0;elsebegincase(rom_out[1:0])0:mpc=0;3:mpc=mp

8、c+1;1:case(opcode)6'b:mpc=6;//R-type6'b:mpc=2;//LW6'b:mpc=2;//SW6'b:mpc=8;//BEQ6'b:mpc=9;//Jdefault:mpc=0;endcase2:ca

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。