vga图像显示控制.doc

vga图像显示控制.doc

ID:57691296

大小:123.00 KB

页数:11页

时间:2020-09-01

vga图像显示控制.doc_第1页
vga图像显示控制.doc_第2页
vga图像显示控制.doc_第3页
vga图像显示控制.doc_第4页
vga图像显示控制.doc_第5页
资源描述:

《vga图像显示控制.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VGA图像显示控制器一、摘要和关键词摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。关键词:行列扫描行列同步RGB三原色控制二、设计任务要求实验目的

2、1.熟练掌握VHDL语言和QuartusII软件的使用;2.理解状态机的工作原理和设计方法;3.掌握利用EDA工具进行自顶向下的电子系统设计方法;4.熟悉VGA接口协议规范。实验要求:设计一个VGA图像显示控制器,达到如下功能:显示模式为640×480×60HZ模式;用拨码开关控制R、G、B(每个2位),使显示器可以显示64种纯色;在显示器上显示横向彩条信号(至少6种颜色);在显示器上显示纵向彩条信号(至少8种颜色);在显示器上显示自行设定的图形、图像等。选做:自拟其它功能。三、实验原理1、显示控制原理常见的彩色显示器一般由阴极射线管(CRT)构成,彩色

3、由GRB(GreenRedBlue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示2、VGA时序信号计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变

4、为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。设计VGA控制器的关键是产生符合VGA接口协议规定的行同步和场同步信号,它们的时序关系如下图所示:h_sync:水平同步信号(负脉冲),每个水平扫描周期显示器刷新一行;v_sync:垂直同步信号(负脉冲),每个垂直扫描周期显示器刷新一帧;行同步信号(HS)场同步信号(VS)时序名称时钟数(像素数)时序名称行数前沿16前沿10行同步96场同步2数据640数据480后沿48后沿33总像素数800总行数525按照

5、每秒60帧的刷新速度来计算,所需要的时钟频率为:频率=60Hz(帧数)×525(行)×800(每一行像素数)=25.2MHz所以我们通过开发系统的50MHz时钟资源,通过时钟分频产生25MHz的频率即可。虽然没有达到精确的25.2MHz的时钟频率(刷新率可能会是59Hz),但是并不会造成影响。3、VGA显示器的工作过程以屏幕左上角的那个像素作为原点(1,1)。当显示器接收到控制器输出的v_sync信号,则开始一个新的垂直刷新循环,同时控制器输出h_sync信号。当经过P+Q=1.084ms的时间后,准备开始水平刷新循环,当h_sync信号的下降沿到来时,即开始刷新第

6、一行(行数加1)。再经过B+C=5.66ms的时间后,开始刷新第一行的第一个像素(列数加1),并按照所需的时钟频率,刷新此行中其余像素。直到显示器接收到下一个h_sync信号,又开始刷新第二行。重复此过程,直到刷新到屏幕的底部。当刷新了最下面一行的最后一个像素后,显示器即完成了一帧的刷新,控制器又输出v_sync信号,显示器又开始一个新的垂直刷新循环。四、系统设计(包括设计思路、总体框图、分块设计)总体设计思路:VGA显示器的控制器可划分为3个子模块:I.时钟分频子模块;II.时序控制子模块,提供同步信号(h_sync和v_sync)及像素位置信息;III.生成图形

7、子模块,接收像素位置信息,并输出颜色信息;由于系统时钟为50MHZ,实验所需频率为25MHZ,故时钟分频模块只需在程序中通过分频语句完成;生成图形子模块由系统提供;所以重点设计的模块就是时序控制模块。生成图形模块时序控制模块VGA显示器总体系统框图如下:分频模块HS系统时VS钟RGB拨码输入时序控制模块设计显示显示前沿后沿同步同步前沿后沿Hcnt=639Hcnt=799Hcnt=655Hcnt=751行同步状态机状态转移图Vcnt=479Vcnt=524Vcnt=489Vcnt=491列同步状态机状态转移图时序控制模块流程图:五、源程序(含注释)libraryi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。