波形发生程序.doc

波形发生程序.doc

ID:57684178

大小:59.00 KB

页数:7页

时间:2020-08-31

波形发生程序.doc_第1页
波形发生程序.doc_第2页
波形发生程序.doc_第3页
波形发生程序.doc_第4页
波形发生程序.doc_第5页
资源描述:

《波形发生程序.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、8.23多功能波形发生器VHDL程序与仿真--文件名:mine4.vhd。--功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波--A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成--各种波形的线形叠加输出。--说明:SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、--幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一--个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量阶为1/51V)。--其

2、中方波的幅度还可通过u0、d0调节输出数据的归一化幅值(AMP0)进行进一步--细调(调节量阶为1/(51*255)V)。方波A的占空比通过zu、zp按键调节(调节--量阶1/64*T)。系统采用内部存储器——RAM实现任意输入波形的存储,程序只支--持键盘式波形特征参数置入存储,posting为进入任意波置入(set)、清除(clr)状态--控制信号,SSS控制存储波形的输出。P180为预留端口,--最后修改日期:2004.3.26。libraryieee;useieee.std_logic_1164.all;useiee

3、e.std_logic_arith.all;useieee.std_logic_unsigned.all;entitymine4isport(clk:instd_logic;--时钟信号输入set,clr,up,down,zu,zd:instd_logic;--各个波形特征的调节触发信号posting:instd_logic;--任意波键盘置入信号u0,d0,sw:instd_logic;--方波A、B的切换sw,和方波B的幅度调节按键ss:instd_logic_vector(3downto0);--档位选择信号sss:i

4、nstd_logic_vector(4downto0);--波形选择信号Data3,Data2,Data1,Data0:instd_logic_vector(3downto0);--BCD码输入p180:outstd_logic;--预留接口lcd:outstd_logic_vector(7downto0);--显示输出shift:outstd_logic_vector(3downto0);--位码输出dd,a:outstd_logic_vector(7downto0));--波形、幅度数据输出endmine4;archit

5、ecturebehavofmine4issubtypewordisstd_logic_vector(7downto0);typeunitisarray(63downto0)ofword;signalram:unit;signalqqq:integerrange0to250000000;signalqq:integerrange0to78125000;signaltmp:integerrange0to9999;signalcoun:integerrange0to78125000;signalcoun0:integerrange

6、0to250000000;signalb:integerrange0to78125000;signalc:integerrange0to500000000;signalz,con:integerrange0to63;signalf:std_logic_vector(7downto0);signalamp,amp0,d:std_logic_vector(7downto0);signalbcd0,bcd1,bcd2,bcd3:integerrange0to9;signalbcd01,bcd11,bcd21,bcd31:integ

7、errange0to9;signalbcd00,bcd10,bcd20,bcd30:integerrange0to9;signaly:integerrange0to9;signaladdr:integerrange0to63;beginqq<=781250whenss="1000"else7812500whenss="0100"else78125000whenss="0010"else78125;--qq信号对应SW=0时的档位选择信号SS,实现方波A和其他三种波形的频率预置qqq<=500000whenss="1000"e

8、lse5000000whenss="0100"else50000000whenss="0010"else50000;--qqq信号对应SW=1时的档位选择信号SS,实现方波B的频率预置process(clk)--此进程分别描述了各种波形的频率、幅度(方波A的占空比)调节以及各种波形的任意线

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。