用Quartus-II设计电子琴课件.ppt

用Quartus-II设计电子琴课件.ppt

ID:57226279

大小:90.50 KB

页数:29页

时间:2020-08-04

用Quartus-II设计电子琴课件.ppt_第1页
用Quartus-II设计电子琴课件.ppt_第2页
用Quartus-II设计电子琴课件.ppt_第3页
用Quartus-II设计电子琴课件.ppt_第4页
用Quartus-II设计电子琴课件.ppt_第5页
资源描述:

《用Quartus-II设计电子琴课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、电子琴设计设计要求设计一个八音电子琴。由键盘输入控制音响,同时可自动演奏乐曲。用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。系统组成系统由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。模块设计1.顶层模块的设计2.自动演奏模块(automusic)的设计3.音调

2、发生器(tone)模块的设计4.数控分频模块(speaker)的设计1.顶层模块的设计顶层模块由乐曲自动演奏(automusic),音调发生器(tone)和数控分频器(speaker)三个模块组成。其中乐曲演奏部分又包括了键盘编码。设置一个自动演奏/键盘输入切换auto,即当auto=“0”时,选择自动演奏音乐存储器里面的乐曲,auto=“1”时,选择键盘输入的信号。顶层设计原理图2.自动演奏模块(automusic)的设计音乐存储模块的作用是产生8位发声控制输入index。当auto为“0”时,由存

3、储在此模块中的8位二进制数作为发声控制输入,可自动演奏乐曲。此模块的VHDL程序中包括两个进程,首先是对时基脉冲进行分频得到4Hz的脉冲,作为第二个进程的时钟信号,它用来控制每个音阶之间的停顿时间,1/4=0.25s;第二个进程是音乐的存储,可根据需要编写不同的乐曲。3.音调发生器(tone)模块的设计音调发生器的作用是产生获得音阶的分频预置值。当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将在端口tone输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频器来对4MHz

4、的脉冲进行分频,由此可得到每个音阶对应的频率。例如输入index=“00000010”,即对应的按键是2,产生的分频系数便是6809;code输出对应该音阶简谱的显示数码;high输出指示音阶高8度,低电平有效。4.数控分频模块(speaker)的设计数控分频模块对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符对应频率。该模块的VHDL程序中包含了三个进程。首先对FPGA的32MHz的时基脉冲进行分频得到8MHz的脉冲,然后按照tone1输入的分频系数对8MHz的脉冲再次分频,得到所需要的

5、音符频率。第三个进程的作用是在音调输出时再进行二分频,将脉冲展宽,使扬声器有足够发声功率。电子琴程序设计与仿真电子琴程序设计与仿真1.顶层程序与仿真2.音阶发生器程序与仿真3.数控分频模块程序与仿真4.自动演奏模块程序与仿真1.顶层程序与仿真--文件名:top.vhd--功能:顶层文件--最后修改日期:2004.3.20libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNE

6、D.ALL;entitytopisPort(clk32MHz:instd_logic;--32MHz系统时钟handTOauto:instd_logic;--键盘输入/自动演奏code1:outstd_logic_vector(6downto0);--音符显示信号index1:instd_logic_vector(7downto0);--键盘输入信号high1:outstd_logic;--高低音节信号spkout:outstd_logic);--音频信号endtop;architectureBeha

7、vioraloftopiscomponentautomusicPort(clk:instd_logic;Auto:instd_logic;index2:instd_logic_vector(7downto0);index0:outstd_logic_vector(7downto0));endcomponent;componenttonePort(index:instd_logic_vector(7downto0);code:outstd_logic_vector(6downto0);high:outs

8、td_logic;tone0:outintegerrange0to2047);endcomponent;componentspeakerPort(clk1:instd_logic;tone1:inintegerrange0to2047;spks:outstd_logic);endcomponent;signaltone2:integerrange0to2047;signalindx:std_logic_vector(7downto0);beginu0:a

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。