基于VHDL语言状态机编写流水灯.doc

基于VHDL语言状态机编写流水灯.doc

ID:56918999

大小:19.50 KB

页数:3页

时间:2020-07-24

基于VHDL语言状态机编写流水灯.doc_第1页
基于VHDL语言状态机编写流水灯.doc_第2页
基于VHDL语言状态机编写流水灯.doc_第3页
资源描述:

《基于VHDL语言状态机编写流水灯.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于VHDL语言状态机编写流水灯采用元件例化方式编写流水灯包含以下三个程序:第一个程序代码如下:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;--Uncommentthefollowinglibrarydeclarationifusing--arithmeticfunctionswithSignedorUnsignedvalues--useIEEE.NUMERIC_STD.ALL;--Uncommentthefollowinglibrarydeclarationifinstantiating--anyXi

2、linxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VComponents.all;entityfenpinisPort(clk:inSTD_LOGIC;clkout:outSTD_LOGIC);endfenpin;architectureBehavioraloffenpinissignalclk1:std_logic:='0';beginprocess(clk)variablecnt:integerrange0to4095;variablecnt1:integerrange0to4

3、095;beginifclk'eventandclk='1'thenifcnt=4095thencnt:=0;clk1<=notclk1;elseifcnt1=4095thencnt1:=0;cnt:=cnt+1;elsecnt1:=cnt1+1;endif;endif;endif;endprocess;clkout<=clk1;endBehavioral;第二个程序代码如下:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;--Uncommentthefollowinglibrarydeclarationi

4、fusing--arithmeticfunctionswithSignedorUnsignedvalues--useIEEE.NUMERIC_STD.ALL;--Uncommentthefollowinglibrarydeclarationifinstantiating--anyXilinxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VComponents.all;entitylightisport(clk:instd_logic;light:outstd_logic_vector(

5、7downto0));endlight;architectureBehavioraloflightistypestate_typeis(a,b,c,d,e,f,g,h);signalstate:state_type;beginprocess(clk)beginifclk'eventandclk='1'thencasestateiswhena=>state<=b;whenb=>state<=c;whenc=>state<=d;whend=>state<=e;whene=>state<=f;whenf=>state<=g;wheng=>st

6、ate<=h;whenothers=>state<=a;endcase;endif;endprocess;process(state)begincasestateiswhena=>light<="";whenb=>light<="";whenc=>light<="";whend=>light<="";whene=>light<="";whenf=>light<="";wheng=>light<="";whenh=>light<="";endcase;endprocess;endBehavioral;第三个程序代码如下:libraryIE

7、EE;useIEEE.STD_LOGIC_1164.ALL;--Uncommentthefollowinglibrarydeclarationifusing--arithmeticfunctionswithSignedorUnsignedvalues--useIEEE.NUMERIC_STD.ALL;--Uncommentthefollowinglibrarydeclarationifinstantiating--anyXilinxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VCom

8、ponents.all;entitylight_fisPort(clk:inSTD_LOGIC;light1:outSTD_LOGIC_VECTOR(7downto0));endlight_f;archit

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。