课设报告数据结构.doc

课设报告数据结构.doc

ID:56805041

大小:810.50 KB

页数:48页

时间:2020-07-12

课设报告数据结构.doc_第1页
课设报告数据结构.doc_第2页
课设报告数据结构.doc_第3页
课设报告数据结构.doc_第4页
课设报告数据结构.doc_第5页
资源描述:

《课设报告数据结构.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《计算机组成原理与汇编实验》实验报告学生:王明颖学号:14570131同组成员:伟宸翟芸婷完成日期:2016.7.8成绩:目录一、实验一……………………………………………………1二、实验二……………………………………………………13三、实验三……………………………………………………18四、实验四……………………………………………………31五、心得与体会………………………………………………39六、参考资料…………………………………………………39一.实验一——16位并行进位运算器功能部件的设计与实现(一)总体设计1.1.1问题分析了解并行进位运算器的工作

2、原理和过程,利用多个芯片采用扩展的方式设计出16位并行进位运算器功能部件,并封装调试。1、分析并设计16位并行进位运算器的基本结构;2、选择芯片及若干元器件进行物理连接,完成16位并行进位运算器功能部件的设计,并实现部件的封装;3、对设计出的16位并行进位运算器功能部件进行测试,检查运算器功能部件是否能够正确完成数值运算的功能。运算器(ALU)功能部件是为了完成计算机主机系统设计实践的算术/逻辑运算功能而设计的功能部件,是计算机进行算术/逻辑运算的核心部件。在本例中设计的运算器功能部件可以对8位数据进行算术/逻辑运算。此部件采用了两片4位片的74181

3、,通过串行进位而扩展成8位运算器。暂存器(74273)对从总线上面传来的数据进行寄存,可以起到暂存数据的作用。三态门(74244)由控制信号ALU-BUS控制,保证ALU运算所得到的结果在需要时送上总线,完成算术逻辑运算。1.1.2总体方案设计。1、设计出部件的逻辑原理图,画出部件的逻辑电路布线图;2、拟定测试数据及测试方法;3、检测模拟仿真测试结果的正确性;4、对设计出的部件进行封装,并写出封装后芯片的功能表。(二)详细设计1.2.1每个模块的功能完成运算1.2.2入出信息输入信息输出信息1.2.3处理逻辑1.2.4屏幕显示布局设计图74181功能表

4、74182功能表运算器封装布局设计图(三)程序编码。--Copyright(C)1991-2008AlteraCorporation--YouruseofAlteraCorporation'sdesigntools,logicfunctions--andothersoftwareandtools,anditsAMPPpartnerlogic--functions,andanyoutputfilesfromanyoftheforegoing--(includingdeviceprogrammingorsimulationfiles),andany--as

5、sociateddocumentationorinformationareexpresslysubject--tothetermsandconditionsoftheAlteraProgramLicense--SubscriptionAgreement,AlteraMegaCoreFunctionLicense--Agreement,orotherapplicablelicenseagreement,including,--withoutlimitation,thatyouruseisforthesolepurposeof--programminglo

6、gicdevicesmanufacturedbyAlteraandsoldby--Alteraoritsauthorizeddistributors.Pleaserefertothe--applicableagreementforfurtherdetails.--PROGRAM"QuartusII64-Bit"--VERSION"Version8.0Build21505/29/2008SJFullVersion"LIBRARYieee;USEieee.std_logic_1164.all;LIBRARYwork;ENTITYBlock1ISport(c

7、n:INSTD_LOGIC;m:INSTD_LOGIC;a:INSTD_LOGIC_VECTOR(15downto0);b:INSTD_LOGIC_VECTOR(15downto0);s:INSTD_LOGIC_VECTOR(3downto0);f:OUTSTD_LOGIC_VECTOR(15downto0));ENDBlock1;ARCHITECTUREbdf_typeOFBlock1ISattributeblack_box:boolean;attributenoopt:boolean;component74181_0PORT(B0N:INSTD

8、_LOGIC;A0N:INSTD_LOGIC;A1N:INSTD_LOGIC;B1N:INST

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。